VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-D SVT UVM Documentation - Macro Reference

Macros defined for AMBA CHI-D SVT UVM Documentation:

A  C  I  M  R  S  W  X  _


_
_SVT_AMBA_INT_CHI_SYSMON_OR_MUL_SYSMON 
_SVT_AMBA_INT_SVDOC_CHI_SYSMON_OR_MUL_SYSMON 
_SVT_AXI_INTNL_SLV_AGNT 
_SVT_CHI_SYSTEM_SEQUENCE_GET_OVERRIDE 
_SVT_CHI_SYSTEM_SEQUENCE_GET_OVERRIDE_W_DISPLAY 

A
ALL_MISMATCH 

C
CEIL 
CHI_E_WAIT_FOR_DBID_RESPONSE_TO_RN_XACT 
CHI_ENABLE_PROTOCOL_CHECK_COV 
custom_debug 

I
IGNORE_BINS 
IGNORE_BINS_CG_system_amba_master_to_slave_access_CP_master_to_slave_pair_id 
IGNORE_BINS_CG_system_axi_master_to_slave_access_CP_master_to_slave_pair_id 
IGNORE_BINS_CG_trans_cross_axi_ooo_read_response_depth_CP_ooo_read_response 
IGNORE_BINS_CG_trans_cross_axi_ooo_read_response_depth_CP_ooo_read_response_depth 
IGNORE_BINS_CG_trans_cross_axi_ooo_write_response_depth_CP_ooo_write_response 
IGNORE_BINS_CG_trans_cross_axi_ooo_write_response_depth_CP_ooo_write_response_depth 
IS_CMO_XACT 

M
MEMATTR_SNPATTR_MATCH 
MIN_UPPER_BOUND 

R
REPORT_OBJ 

S
SVT_AHB_MAX_NUM_SYSTEMS 
SVT_AMBA_AHB_INTERFACE 
SVT_AMBA_APB_INTERFACE 
SVT_AMBA_AXI_INTERFACE 
SVT_AMBA_CHI_INTERFACE 
SVT_AMBA_DATA_OBJ_CREATE 
SVT_AMBA_DATA_UTIL_GET_PROP_VAL_PACKED_ARRAY 
SVT_AMBA_DATA_UTIL_SET_PACKED_ARRAY 
svt_amba_debug 
SVT_AMBA_ERR_CHECK_STATS 
SVT_AMBA_ERR_CHECK_STATS_NOT_USED 
SVT_AMBA_GP_MASTER 
SVT_AMBA_GP_SLAVE 
SVT_AMBA_MEM_MODE_WIDTH 
SVT_AMBA_MEM_MODE_WIDTH 
SVT_AMBA_NONSECURE_ACCESS 
SVT_AMBA_NUM_PATH_COV_DEST_NAMES 
SVT_AMBA_PATH_COV_DEST_NAMES 
SVT_AMBA_READ_ACCESS 
SVT_AMBA_READ_WRITE_ACCESS 
SVT_AMBA_SECURE_ACCESS 
SVT_AMBA_SECURE_NONSECURE_ACCESS 
SVT_AMBA_system_amba_master_to_slave_access_amba_master_to_slave_access_COV_OPTION_AT_LEAST_VAL 
SVT_AMBA_TOP_CHI_SYSTEM_MONITOR 
SVT_AMBA_USE_INTERCONNECT_INST_NAME 
svt_amba_verbose 
SVT_AMBA_VERSION 
SVT_AMBA_WRITE_ACCESS 
SVT_APB_MAX_NUM_SYSTEMS 
SVT_AXI4_LITE_FIXED_ID 
SVT_AXI4_STREAM_trans_TREADY_before_TVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI4_STREAM_trans_TVALID_before_TREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI4_STREAM_trans_TVALID_to_prev_TVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI4_STREAM_trans_TVALID_to_TREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_3_BUFFERABLE_OR_MODIFIABLE_ONLY 
SVT_AXI_3_CACHEABLE_BUFFERABLE_BUT_NO_ALLOC 
SVT_AXI_3_CACHEABLE_BUT_NO_ALLOC 
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_BOTH_RD_WR 
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_RD_ONLY 
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_WR_ONLY 
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_BOTH_RD_WR 
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_RD_ONLY 
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_WR_ONLY 
SVT_AXI_3_NON_CACHEABLE_NON_BUFFERABLE 
SVT_AXI_4_ARCACHE_DEVICE_BUFFERABLE 
SVT_AXI_4_ARCACHE_DEVICE_NON_BUFFERABLE 
SVT_AXI_4_ARCACHE_NORMAL_NON_CACHABLE_BUFFERABLE 
SVT_AXI_4_ARCACHE_NORMAL_NON_CACHABLE_NON_BUFFERABLE 
SVT_AXI_4_ARCACHE_WRITE_BACK_NO_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_BACK_READ_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_BACK_WRITE_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_THROUGH_NO_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_THROUGH_READ_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE 
SVT_AXI_4_ARCACHE_WRITE_THROUGH_WRITE_ALLOCATE 
SVT_AXI_4_AWCACHE_DEVICE_BUFFERABLE 
SVT_AXI_4_AWCACHE_DEVICE_NON_BUFFERABLE 
SVT_AXI_4_AWCACHE_NORMAL_NON_CACHABLE_BUFFERABLE 
SVT_AXI_4_AWCACHE_NORMAL_NON_CACHABLE_NON_BUFFERABLE 
SVT_AXI_4_AWCACHE_WRITE_BACK_NO_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_BACK_READ_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_BACK_WRITE_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_THROUGH_NO_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_THROUGH_READ_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE 
SVT_AXI_4_AWCACHE_WRITE_THROUGH_WRITE_ALLOCATE 
SVT_AXI_ACE_PRINT_PREFIX 
SVT_AXI_ACTIVE 
SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH 
SVT_AXI_ALLOWED_SNOOP_XACT 
SVT_AXI_ATOMIC_GEN_SOURCE 
SVT_AXI_ATOMIC_TYPE_COMPARE 
SVT_AXI_ATOMIC_TYPE_LOAD 
SVT_AXI_ATOMIC_TYPE_NON_ATOMIC 
SVT_AXI_ATOMIC_TYPE_STORE 
SVT_AXI_ATOMIC_TYPE_SWAP 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICCOMPARE 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_ADD 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_CLR 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_EOR 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SET 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SMAX 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SMIN 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_UMAX 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_UMIN 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_ADD 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_CLR 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_EOR 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SET 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SMAX 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SMIN 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_UMAX 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_UMIN 
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSWAP 
SVT_AXI_AUTO_DVM_COMPLETE_GEN_SOURCE 
SVT_AXI_AWUNIQUE_ERROR 
SVT_AXI_BACK_TO_BACK_READ_BURST_SEQ 
SVT_AXI_BACK_TO_BACK_WRITE_BURST_SEQ 
SVT_AXI_BARRIER_PAIR_RD_AFTER_WR_PATTERN_SEQ 
SVT_AXI_BARRIER_PAIR_SIMULTAENOUS_RD_WR_PATTERN_SEQ 
SVT_AXI_BARRIER_PAIR_WR_AFTER_RD_PATTERN_SEQ 
SVT_AXI_BURST_LENGTH_INJECT_ERROR 
SVT_AXI_CACHE_LINE_STATE_INVALID 
SVT_AXI_CACHE_LINE_STATE_SHAREDCLEAN 
SVT_AXI_CACHE_LINE_STATE_SHAREDDIRTY 
SVT_AXI_CACHE_LINE_STATE_UNIQUECLEAN 
SVT_AXI_CACHE_LINE_STATE_UNIQUEDIRTY 
SVT_AXI_CACHE_MODIFIABLE_ONLY 
SVT_AXI_CACHE_SHORTHAND_CUST_COMPARE 
SVT_AXI_CACHE_SHORTHAND_CUST_COPY 
SVT_AXI_CACHE_SNOOP_RESPONSE_GEN_SOURCE 
SVT_AXI_CMO_CLEANINVALID_ON_WRITE 
SVT_AXI_CMO_CLEANINVALIDPOPA_ON_WRITE 
SVT_AXI_CMO_CLEANSHARED_ON_WRITE 
SVT_AXI_CMO_CLEANSHAREDDEEPPERSIST_ON_WRITE 
SVT_AXI_CMO_CLEANSHAREDPERSIST_ON_WRITE 
SVT_AXI_COHERENT_EXCL_ACCESS_FAIL 
SVT_AXI_COHERENT_EXCL_ACCESS_INITIAL 
SVT_AXI_COHERENT_EXCL_ACCESS_PASS 
SVT_AXI_COHERENT_READ 
SVT_AXI_COHERENT_READ_XACT 
SVT_AXI_COHERENT_RESP_TYPE_SHARED_CLEAN 
SVT_AXI_COHERENT_RESP_TYPE_SHARED_DIRTY 
SVT_AXI_COHERENT_RESP_TYPE_UNIQUE_CLEAN 
SVT_AXI_COHERENT_RESP_TYPE_UNIQUE_DIRTY 
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANINVALID 
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANSHARED 
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANSHAREDPERSIST 
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_CMO 
SVT_AXI_COHERENT_TRANSACTION_TYPE_DVMCOMPLETE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_DVMMESSAGE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_EVICT 
SVT_AXI_COHERENT_TRANSACTION_TYPE_MAKEINVALID 
SVT_AXI_COHERENT_TRANSACTION_TYPE_MAKEUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_PREFETCH 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READBARRIER 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READCLEAN 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READNOSNOOP 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READNOTSHAREDDIRTY 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCECLEANINVALID 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCEMAKEINVALID 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READSHARED 
SVT_AXI_COHERENT_TRANSACTION_TYPE_READUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHONCESHARED 
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHONCEUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHTRANSLATION 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEBACK 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEBARRIER 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITECLEAN 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEDEFERRABLE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEEVICT 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEFULL_CMO 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITELINEUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITENOSNOOP 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITENOSNPFULL 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEPTL_CMO 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUE 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUEFULLSTASH 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUEPTLSTASH 
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEZERO 
SVT_AXI_COHERENT_WRITE 
SVT_AXI_COHERENT_WRITE_XACT 
SVT_AXI_COHERENT_XACT_BYTES_LESS_THAN_CACHE_LINE_SIZE_ERROR 
SVT_AXI_DATA_NON_SECURE_NORMAL 
SVT_AXI_DATA_NON_SECURE_PRIVILEGED 
SVT_AXI_DATA_SECURE_NORMAL 
SVT_AXI_DATA_SECURE_PRIVILEGED 
SVT_AXI_DECERR_RESPONSE 
SVT_AXI_DOMAIN_TYPE_INNERSHAREABLE 
SVT_AXI_DOMAIN_TYPE_NONSHAREABLE 
SVT_AXI_DOMAIN_TYPE_OUTERSHAREABLE 
SVT_AXI_DOMAIN_TYPE_SYSTEMSHAREABLE 
SVT_AXI_EX_EX_EX_NR_SEQ 
SVT_AXI_EX_EX_NR_EX_SEQ 
SVT_AXI_EX_EX_NR_NR_SEQ 
SVT_AXI_EX_NR_EX_EX_SEQ 
SVT_AXI_EX_NR_EX_NR_SEQ 
SVT_AXI_EX_NR_NR_EX_SEQ 
SVT_AXI_EX_NR_NR_NR_SEQ 
SVT_AXI_EXCL_MON_INVALID 
SVT_AXI_EXCL_MON_RESET 
SVT_AXI_EXCL_MON_SET 
SVT_AXI_EXCLUDE_ICN_VIP_INTERNAL_ENABLE 
SVT_AXI_EXCLUDE_UNSTARTED_XACT 
SVT_AXI_EXCLUSIVE_ACCESS_CONDITION 
SVT_AXI_EXCLUSIVE_MONITOR_FIFO_DEPTH 
SVT_AXI_EXOKAY_RESPONSE 
SVT_AXI_FULL_PROTECTION 
SVT_AXI_GENERATE_EXCLUSIVE_ACCESS_FOR_READ_ONLY_INTERFACE_ERROR 
SVT_AXI_GENERATE_EXCLUSIVE_ACCESS_FOR_WRITE_ONLY_INTERFACE_ERROR 
SVT_AXI_GENERATE_READS_FOR_WRITE_ONLY_INTERFACE_ERROR 
SVT_AXI_GENERATE_WRITES_FOR_READ_ONLY_INTERFACE_ERROR 
SVT_AXI_GET_XACT_END_TIME 
SVT_AXI_GET_XACT_START_TIME 
SVT_AXI_IC_MASTER_MODPORT 
SVT_AXI_IC_SLAVE_MODPORT 
SVT_AXI_INACTIVE_CHAN_HIGH_VAL 
SVT_AXI_INACTIVE_CHAN_LOW_VAL 
SVT_AXI_INACTIVE_CHAN_PREV_VAL 
SVT_AXI_INACTIVE_CHAN_RAND_VAL 
SVT_AXI_INACTIVE_CHAN_X_VAL 
SVT_AXI_INACTIVE_CHAN_Z_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_HIGH_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_LOW_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_RAND_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_UNCHANGED_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_X_VAL 
SVT_AXI_INACTIVE_WDATA_BYTE_Z_VAL 
SVT_AXI_INSTRUCTION_NON_SECURE_NORMAL 
SVT_AXI_INSTRUCTION_NON_SECURE_PRIVILEGED 
SVT_AXI_INSTRUCTION_SECURE_NORMAL 
SVT_AXI_INSTRUCTION_SECURE_PRIVILEGED 
SVT_AXI_INTERFACE_ACE 
SVT_AXI_INTERFACE_ACE_LITE 
SVT_AXI_INTERFACE_AXI3 
SVT_AXI_INTERFACE_AXI4 
SVT_AXI_INTERFACE_AXI4_LITE 
SVT_AXI_INTERFACE_AXI4_STREAM 
SVT_AXI_INVALID_BAR_DOMAIN_SNOOP_ERROR 
SVT_AXI_INVALID_BURST_TYPE_FOR_COHERENT_XACT_ERROR 
SVT_AXI_INVALID_START_STATE_CACHE_LINE_ERROR 
SVT_AXI_IS_TRANSMITTED_CHANNEL_DATA_STREAM 
SVT_AXI_IS_TRANSMITTED_CHANNEL_READ 
SVT_AXI_IS_TRANSMITTED_CHANNEL_WRITE 
SVT_AXI_L3_CACHE_ALLOCATING_XACTS 
SVT_AXI_L3_CACHE_DEALLOCATING_XACTS 
SVT_AXI_LEGAL_SNOOP_MAPPING 
SVT_AXI_LEGAL_WITH_SNOOP_FILTER_CACHE_LINE_STATE_CHANGE 
SVT_AXI_LEGAL_WITHOUT_SNOOP_FILTER_CACHE_LINE_STATE_CHANGE 
SVT_AXI_LOCKED_FOLLOWED_BY_EXCL_XACT_SEQ 
SVT_AXI_LOG_BASE_2_MIN_ATOMIC_DATA_WIDTH 
SVT_AXI_LOG_BASE_2_MIN_DATA_WIDTH 
SVT_AXI_LSB 
SVT_AXI_MASTER 
SVT_AXI_MASTER_IF 
SVT_AXI_MASTER_SNOOP_TRANSACTION_LAST_ADDR_PHASE_REF 
SVT_AXI_MASTER_TRANSACTION_BVALID_REF 
SVT_AXI_MASTER_TRANSACTION_FIRST_DATA_HANDSHAKE_DATA_BEFORE_ADDR 
SVT_AXI_MASTER_TRANSACTION_FIRST_WVALID_DATA_BEFORE_ADDR 
SVT_AXI_MASTER_TRANSACTION_LAST_READ_DATA_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_MANUAL_RREADY_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_ADDR_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_ADDR_VALID_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_LAST_DATA_HANDSHAKE 
SVT_AXI_MASTER_TRANSACTION_PREV_TVALID_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_TVALID_TREADY_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_WRITE_DATA_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_WRITE_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_PREV_WVALID_REF 
SVT_AXI_MASTER_TRANSACTION_RVALID_REF 
SVT_AXI_MASTER_TRANSACTION_SCENARIO_TYPE 
SVT_AXI_MASTER_TRANSACTION_TYPE 
SVT_AXI_MASTER_TRANSACTION_WRITE_ADDR_HANDSHAKE_REF 
SVT_AXI_MASTER_TRANSACTION_WRITE_ADDR_VALID_REF 
SVT_AXI_MASTER_TRANSACTION_WRITE_RESP_HANDSHAKE_REF 
SVT_AXI_MAX_ACREADY_DELAY 
SVT_AXI_MAX_ACVALID_DELAY 
SVT_AXI_MAX_ACVALID_TO_CRVALID_DELAY 
SVT_AXI_MAX_ADDR_DELAY 
SVT_AXI_MAX_ADDR_VALID_DELAY 
SVT_AXI_MAX_AXI3_GENERIC_DELAY 
SVT_AXI_MAX_BURST_LENGTH_WIDTH_`SVT_AXI_MAX_BURST_LENGTH_WIDTH 
SVT_AXI_MAX_BYTE_BOUNDARY_FOR_MASTER_XACT_SPLIT 
SVT_AXI_MAX_CACHE_LINE_SIZE 
SVT_AXI_MAX_CDREADY_DELAY 
SVT_AXI_MAX_CDVALID_DELAY 
SVT_AXI_MAX_CHUNK_NUM_WIDTH_`SVT_AXI_MAX_CHUNK_NUM_WIDTH 
SVT_AXI_MAX_CHUNK_STROBE_WIDTH_`SVT_AXI_MAX_CHUNK_STROBE_WIDTH 
SVT_AXI_MAX_CRREADY_DELAY 
SVT_AXI_MAX_CRVALID_DELAY 
SVT_AXI_MAX_DATA_WIDTH_`SVT_AXI_MAX_DATA_WIDTH 
SVT_AXI_MAX_DVM_COMPLETE_DELAY 
SVT_AXI_MAX_IDLE_ADDR_READY_DELAY 
SVT_AXI_MAX_IDLE_ADDR_READY_DELAY_ARR_SIZE 
SVT_AXI_MAX_IDLE_BREADY_DELAY 
SVT_AXI_MAX_IDLE_BREADY_DELAY_ARR_SIZE 
SVT_AXI_MAX_IDLE_RREADY_DELAY 
SVT_AXI_MAX_IDLE_RREADY_DELAY_ARR_SIZE 
SVT_AXI_MAX_IDLE_WREADY_DELAY 
SVT_AXI_MAX_IDLE_WREADY_DELAY_ARR_SIZE 
SVT_AXI_MAX_NUM_CACHE_LINES 
SVT_AXI_MAX_NUM_EXCLUSIVE_ACCESS 
SVT_AXI_MAX_NUM_OUTSTANDING_ATOMIC_XACT 
SVT_AXI_MAX_NUM_OUTSTANDING_SNOOP_XACT 
SVT_AXI_MAX_NUM_OUTSTANDING_XACT 
SVT_AXI_MAX_NUM_SYSTEMS 
SVT_AXI_MAX_QVN_AR_TOKEN_DELAY_RANGE 
SVT_AXI_MAX_QVN_AW_TOKEN_DELAY_RANGE 
SVT_AXI_MAX_QVN_W_TOKEN_DELAY_RANGE 
SVT_AXI_MAX_RACK_DELAY 
SVT_AXI_MAX_READ_DATA_INTERLEAVE_SIZE 
SVT_AXI_MAX_READ_DATA_REORDERING_DEPTH 
SVT_AXI_MAX_READ_FIFO_DRAIN_RATE 
SVT_AXI_MAX_READ_FIFO_FULL_LEVEL 
SVT_AXI_MAX_RREADY_DELAY 
SVT_AXI_MAX_RVALID_DELAY 
SVT_AXI_MAX_SLAVE_TRAFFIC_PROFILE_RATE 
SVT_AXI_MAX_SLAVE_TRAFFIC_PROFILE_XACT_SIZE 
SVT_AXI_MAX_STREAM_BURST_LENGTH 
SVT_AXI_MAX_STREAM_INTERLEAVE_DEPTH 
SVT_AXI_MAX_TAGGED_ADDR_WIDTH 
SVT_AXI_MAX_TRANSACTION_IDLE_CYCLES 
SVT_AXI_MAX_TREADY_DELAY 
SVT_AXI_MAX_TVALID_DELAY 
SVT_AXI_MAX_VMIDEXT_WIDTH 
SVT_AXI_MAX_WACK_DELAY 
SVT_AXI_MAX_WR_INTERLEAVE_DEPTH 
SVT_AXI_MAX_WREADY_DELAY 
SVT_AXI_MAX_WRITE_FIFO_FILL_RATE 
SVT_AXI_MAX_WRITE_FIFO_FULL_LEVEL 
SVT_AXI_MAX_WRITE_RESP_DELAY 
SVT_AXI_MAX_WRITE_RESP_REORDERING_DEPTH 
SVT_AXI_MAX_WVALID_DELAY 
SVT_AXI_MECID_ATTRIBUTES_WIDTH 
SVT_AXI_MEMORY_BARRIER 
SVT_AXI_MEMORY_RESPONSE_GEN_SOURCE 
SVT_AXI_MIN_NUM_CACHE_LINES 
SVT_AXI_MIN_WRITE_RESP_DELAY 
SVT_AXI_MINI_PRINT 
SVT_AXI_MSB 
SVT_AXI_NO_SOURCE 
SVT_AXI_NORMAL_ACCESS_IGNORE_BARRIER 
SVT_AXI_NORMAL_ACCESS_RESPECT_BARRIER 
SVT_AXI_NR_EX_EX_EX_SEQ 
SVT_AXI_NR_EX_EX_NR_SEQ 
SVT_AXI_NR_EX_NR_EX_SEQ 
SVT_AXI_NR_EX_NR_NR_SEQ 
SVT_AXI_NR_NR_EX_EX_SEQ 
SVT_AXI_NR_NR_EX_NR_SEQ 
SVT_AXI_NR_NR_NR_EX_SEQ 
SVT_AXI_NUM_BLOCKED_XACTS_ALLOWED 
SVT_AXI_OKAY_RESPONSE 
SVT_AXI_PASSIVE 
SVT_AXI_PBHA_ATTRIBUTES_WIDTH 
SVT_AXI_PHASE_PRINT_PREFIX 
SVT_AXI_PHASE_TYPE_RD_ADDR 
SVT_AXI_PHASE_TYPE_RD_DATA 
SVT_AXI_PHASE_TYPE_WR_ADDR 
SVT_AXI_PHASE_TYPE_WR_DATA 
SVT_AXI_PHASE_TYPE_WR_RESP 
SVT_AXI_PORT_CFG_DEFAULT_ACE_VERSION 
SVT_AXI_PORT_CFG_DEFAULT_FUSA_BUS_PROTECTION_ENABLE 
SVT_AXI_PORT_CFG_DEFAULT_FUSA_INTERCONNECT_PROTECTION_ENABLE 
SVT_AXI_PORT_CFG_DEFAULT_INTERFACE_TYPE 
SVT_AXI_POST_COHERENT_XACT_CACHE_LINE_STATE_CORRUPTION 
SVT_AXI_POST_SNOOP_XACT_CACHE_LINE_STATE_CORRUPTION 
SVT_AXI_PREFETCHED_DEFER_RESPONSE 
svt_axi_print_phase_info 
SVT_AXI_PRINT_PREFIX 
SVT_AXI_PRINT_PREFIX1 
SVT_AXI_QVN_AR_TOKEN_REQUEST_READY_MAX_TIMEOUT 
SVT_AXI_QVN_AW_TOKEN_REQUEST_READY_MAX_TIMEOUT 
SVT_AXI_QVN_TRANSACTION_TYPE_READ_ADDR 
SVT_AXI_QVN_TRANSACTION_TYPE_WRITE_ADDR 
SVT_AXI_QVN_TRANSACTION_TYPE_WRITE_DATA 
SVT_AXI_QVN_W_TOKEN_REQUEST_READY_MAX_TIMEOUT 
SVT_AXI_RANDOM_BARRIER_XACT_ASSOCIATION 
SVT_AXI_RD_RD_RD_RD_SEQ 
SVT_AXI_RD_RD_RD_WR_SEQ 
SVT_AXI_RD_RD_WR_WR_SEQ 
SVT_AXI_RD_WR_RD_WR_SEQ 
SVT_AXI_READ_ONLY 
SVT_AXI_READ_OOO 
SVT_AXI_READ_OOO_SAME_ID_AS_WRITE 
SVT_AXI_READ_WRITE 
SVT_AXI_RECOMMENDED_CACHE_LINE_STATE_CHANGE 
SVT_AXI_RECOMMENDED_SNOOP_XACT 
SVT_AXI_RELEVANT_SLAVE_TRANSACTION 
SVT_AXI_REORDERING_PRIORITIZED 
SVT_AXI_REORDERING_RANDOM 
SVT_AXI_REORDERING_ROUND_ROBIN 
SVT_AXI_RESET_ALL_XACT 
SVT_AXI_RESET_TYPE 
SVT_AXI_RRESP_INTERNAL_WIDTH 
SVT_AXI_SCENARIO_GEN_SOURCE 
SVT_AXI_SET_UNIQ_VALUED_DEFINE 
SVT_AXI_SIMPLE_CONVERSION_WITH_PROTECTION 
SVT_AXI_SIMPLE_RESPONSE_GEN_SOURCE 
SVT_AXI_SLAVE 
SVT_AXI_SLAVE_IF 
SVT_AXI_SLAVE_TRANSACTION_ADDR_HANDSHAKE_REF 
SVT_AXI_SLAVE_TRANSACTION_ADDR_VALID_REF 
SVT_AXI_SLAVE_TRANSACTION_FIRST_WVALID_REF 
SVT_AXI_SLAVE_TRANSACTION_LAST_DATA_HANDSHAKE_REF 
SVT_AXI_SLAVE_TRANSACTION_MANUAL_WREADY_REF 
SVT_AXI_SLAVE_TRANSACTION_PREV_READ_HANDSHAKE_REF 
SVT_AXI_SLAVE_TRANSACTION_PREV_RVALID_REF 
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_HANDSHAKE_OF_SAME_XACT_REF 
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_HANDSHAKE_REF 
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_VALID_REF 
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_GEN_CALLBACKS 
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_GEN_TYPE 
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_TYPE 
SVT_AXI_SLAVE_TRANSACTION_TYPE 
SVT_AXI_SLAVE_TRANSACTION_WVALID_REF 
SVT_AXI_SLVERR_RESPONSE 
SVT_AXI_SNOOP_BURST_LENGTH_16_BEATS 
SVT_AXI_SNOOP_BURST_LENGTH_1_BEAT 
SVT_AXI_SNOOP_BURST_LENGTH_2_BEATS 
SVT_AXI_SNOOP_BURST_LENGTH_4_BEATS 
SVT_AXI_SNOOP_BURST_LENGTH_8_BEATS 
SVT_AXI_SNOOP_RESP_DATA_TRANSFER_USING_CDDATA 
SVT_AXI_SNOOP_RESP_DATA_TRANSFER_USING_WB_WC 
SVT_AXI_SNOOP_RESPONSE_TO_SAME_CACHELINE_DURING_MEMORY_UPDATE_ERROR 
SVT_AXI_SNOOP_TRANSACTION_ACVALID 
SVT_AXI_SNOOP_TRANSACTION_EXC_NO_OP_ERROR 
SVT_AXI_SNOOP_TRANSACTION_EXC_USER_DEFINED_ERROR 
SVT_AXI_SNOOP_TRANSACTION_PREV_SNOOP_DATA_HANDSHAKE 
SVT_AXI_SNOOP_TRANSACTION_PREV_SNOOP_DATA_VALID 
SVT_AXI_SNOOP_TRANSACTION_SNOOP_ADDR_HANDSHAKE 
SVT_AXI_SNOOP_TRANSACTION_SNOOP_RESP_HANDSHAKE 
SVT_AXI_SNOOP_TRANSACTION_SNOOP_RESP_VALID 
SVT_AXI_SNOOP_TRANSACTION_TYPE_CLEANINVALID 
SVT_AXI_SNOOP_TRANSACTION_TYPE_CLEANSHARED 
SVT_AXI_SNOOP_TRANSACTION_TYPE_DVMCOMPLETE 
SVT_AXI_SNOOP_TRANSACTION_TYPE_DVMMESSAGE 
SVT_AXI_SNOOP_TRANSACTION_TYPE_MAKEINVALID 
SVT_AXI_SNOOP_TRANSACTION_TYPE_READCLEAN 
SVT_AXI_SNOOP_TRANSACTION_TYPE_READNOTSHAREDDIRTY 
SVT_AXI_SNOOP_TRANSACTION_TYPE_READONCE 
SVT_AXI_SNOOP_TRANSACTION_TYPE_READSHARED 
SVT_AXI_SNOOP_TRANSACTION_TYPE_READUNIQUE 
SVT_AXI_STREAM_PRINT_PREFIX 
SVT_AXI_STREAM_TREADY_ASSERT_MAX_DELAY 
SVT_AXI_STREAM_TREADY_ASSERT_MIN_DELAY 
SVT_AXI_STREAM_TREADY_DEASSERT_MAX_DELAY 
SVT_AXI_STREAM_TREADY_DEASSERT_MIN_DELAY 
SVT_AXI_STREAM_TYPE_BYTE_STREAM 
SVT_AXI_STREAM_TYPE_CONTINUOUS_ALIGNED_STREAM 
SVT_AXI_STREAM_TYPE_CONTINUOUS_UNALIGNED_STREAM 
SVT_AXI_STREAM_TYPE_SPARSE_STREAM 
SVT_AXI_STREAM_TYPE_USER_STREAM 
SVT_AXI_SYNC_BARRIER 
SVT_AXI_system_axi_master_to_slave_access_axi_master_to_slave_access_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_TRANASCTION_INTERLEAVE_RANDOM_BLOCK 
SVT_AXI_trans_axi_snoop_ACREADY_before_ACVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_ACVALID_before_ACREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_ACVALID_to_ACREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_ACVALID_to_CRVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_ACVALID_to_prev_ACVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CDREADY_before_CDVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CDVALID_before_CDREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CDVALID_to_CDREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CDVALID_to_prev_CDVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CRREADY_before_CRVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CRVALID_before_CRREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CRVALID_to_CRREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_axi_snoop_CRVALID_to_prev_CRVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWREADY_before_AWVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWREADY_before_WVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_before_AWREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_before_WREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_before_WVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_to_AWREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_to_first_WVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_AWVALID_to_prev_AWVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_BREADY_before_BVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_BVALID_before_BREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_BVALID_to_BREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_last_wdata_handshake_to_BVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WREADY_before_AWVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WREADY_before_WVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WVALID_before_AWREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WVALID_before_AWVALID_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WVALID_before_WREADY_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WVALID_to_prev_WVALID_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_trans_meta_axi_write_WVALID_to_WREADY_Delay_COV_OPTION_AT_LEAST_VAL 
SVT_AXI_TRANSACTION_4K_ADDR_RANGE 
SVT_AXI_TRANSACTION_ADDR_RANGE_NUM_LSB_BITS 
SVT_AXI_TRANSACTION_BURST_FIXED 
SVT_AXI_TRANSACTION_BURST_INCR 
SVT_AXI_TRANSACTION_BURST_SIZE_1024 
SVT_AXI_TRANSACTION_BURST_SIZE_128 
SVT_AXI_TRANSACTION_BURST_SIZE_16 
SVT_AXI_TRANSACTION_BURST_SIZE_2048 
SVT_AXI_TRANSACTION_BURST_SIZE_256 
SVT_AXI_TRANSACTION_BURST_SIZE_32 
SVT_AXI_TRANSACTION_BURST_SIZE_4096 
SVT_AXI_TRANSACTION_BURST_SIZE_512 
SVT_AXI_TRANSACTION_BURST_SIZE_64 
SVT_AXI_TRANSACTION_BURST_SIZE_8 
SVT_AXI_TRANSACTION_BURST_WRAP 
SVT_AXI_TRANSACTION_DATA_STREAM 
SVT_AXI_TRANSACTION_EXC_NO_OP_ERROR 
SVT_AXI_TRANSACTION_EXC_USER_DEFINED_ERROR 
SVT_AXI_TRANSACTION_EXCLUSIVE 
SVT_AXI_TRANSACTION_INTERLEAVE_EQUAL_BLOCK 
SVT_AXI_TRANSACTION_LOCKED 
SVT_AXI_TRANSACTION_NORMAL 
SVT_AXI_TRANSACTION_STATE_INITAL 
SVT_AXI_TRANSACTION_STATE_TRANSACTION_ABORTED 
SVT_AXI_TRANSACTION_STATE_TRANSACTION_COMPLETE 
SVT_AXI_TRANSACTION_STATE_TRANSFER_COMPLETE 
SVT_AXI_TRANSACTION_STATE_TRANSFER_IN_PROGRESS 
SVT_AXI_TRANSACTION_TYPE_ATOMIC 
SVT_AXI_TRANSACTION_TYPE_COHERENT 
SVT_AXI_TRANSACTION_TYPE_IDLE 
SVT_AXI_TRANSACTION_TYPE_READ 
SVT_AXI_TRANSACTION_TYPE_READ_WRITE 
SVT_AXI_TRANSACTION_TYPE_WRITE 
SVT_AXI_TRANSFAULT_RESPONSE 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_COHERENT 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_DATA_STREAM 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_IDLE 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_READ 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_READ_WRITE 
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_WRITE 
SVT_AXI_UNSUPPORTED_RESPONSE 
SVT_AXI_USER_DEFINED_BARRIER_XACT_ASSOCIATION 
SVT_AXI_USER_DVM_COMPLETE_GEN_SOURCE 
SVT_AXI_USER_RESPONSE_GEN_SOURCE 
SVT_AXI_USER_SNOOP_RESPONSE_GEN_SOURCE 
SVT_AXI_VALID_MASTER_IDX_0 
SVT_AXI_VALID_MASTER_IDX_1 
SVT_AXI_VALID_MASTER_IDX_10 
SVT_AXI_VALID_MASTER_IDX_11 
SVT_AXI_VALID_MASTER_IDX_12 
SVT_AXI_VALID_MASTER_IDX_13 
SVT_AXI_VALID_MASTER_IDX_14 
SVT_AXI_VALID_MASTER_IDX_15 
SVT_AXI_VALID_MASTER_IDX_2 
SVT_AXI_VALID_MASTER_IDX_3 
SVT_AXI_VALID_MASTER_IDX_4 
SVT_AXI_VALID_MASTER_IDX_5 
SVT_AXI_VALID_MASTER_IDX_6 
SVT_AXI_VALID_MASTER_IDX_7 
SVT_AXI_VALID_MASTER_IDX_8 
SVT_AXI_VALID_MASTER_IDX_9 
SVT_AXI_VALID_SLAVE_IDX_0 
SVT_AXI_VALID_SLAVE_IDX_1 
SVT_AXI_VALID_SLAVE_IDX_10 
SVT_AXI_VALID_SLAVE_IDX_11 
SVT_AXI_VALID_SLAVE_IDX_12 
SVT_AXI_VALID_SLAVE_IDX_13 
SVT_AXI_VALID_SLAVE_IDX_14 
SVT_AXI_VALID_SLAVE_IDX_15 
SVT_AXI_VALID_SLAVE_IDX_2 
SVT_AXI_VALID_SLAVE_IDX_3 
SVT_AXI_VALID_SLAVE_IDX_4 
SVT_AXI_VALID_SLAVE_IDX_5 
SVT_AXI_VALID_SLAVE_IDX_6 
SVT_AXI_VALID_SLAVE_IDX_7 
SVT_AXI_VALID_SLAVE_IDX_8 
SVT_AXI_VALID_SLAVE_IDX_9 
SVT_AXI_WR_RD_WR_RD_SEQ 
SVT_AXI_WR_WR_RD_RD_SEQ 
SVT_AXI_WR_WR_WR_RD_SEQ 
SVT_AXI_WR_WR_WR_WR_SEQ 
SVT_AXI_WRITE_DATA_FREE_XMIT 
SVT_AXI_WRITE_DATA_WAIT_FOR_ADDR 
SVT_AXI_WRITE_ONLY 
SVT_AXI_WRITE_OOO 
SVT_AXI_WRITE_OOO_SAME_ID_AS_READ 
SVT_AXI_WRITE_XACT_COUNT_BASE 
SVT_AXI_WRITENOSNPFULL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPFULL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPFULL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPFULL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPFULL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPPTL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPPTL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPPTL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPPTL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITENOSNPPTL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEFULL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEFULL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEFULL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEFULL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEPTL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEPTL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEPTL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEPTL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEPTL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WRITEUNIQUEULL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE 
SVT_AXI_WSTRB_INACTIVE_HIGH 
SVT_AXI_WSTRB_INACTIVE_LOW 
SVT_AXI_WSTRB_INACTIVE_PREV 
SVT_AXI_WSTRB_UNALIGNED_START_ADDR 
SVT_AXI_XACT_STATUS_ENDED 
svt_axi_xxm_debug 
svt_axi_xxm_error 
svt_axi_xxm_fatal 
svt_axi_xxm_note 
svt_axi_xxm_verbose 
svt_axi_xxm_warning 
SVT_C_BASED_SVT_MEM 
SVT_CACHE_DIRECT_MAPPED 
SVT_CACHE_FULLY_ASSOCIATIVE 
SVT_CACHE_TWO_WAY_ASSOCIATIVE 
SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MAX_VALUE 
SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MIN_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MAX_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MIN_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MAX_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MIN_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MAX_VALUE 
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MIN_VALUE 
SVT_CHI_ACELITE_COH_EXCLUSIVE_RD 
SVT_CHI_ACELITE_COH_EXCLUSIVE_WR 
SVT_CHI_ADDR_IDX_WIDTH 
SVT_CHI_AIP_COMPLIANCE 
SVT_CHI_ALLOWED_SLAVE_CMO_XACT 
SVT_CHI_ALLOWED_SNOOP_XACT 
SVT_CHI_ALLOWRETRY_WIDTH 
SVT_CHI_ASID_WIDTH 
SVT_CHI_ATOMIC_GEN_SOURCE 
SVT_CHI_ATOMIC_TYPE_SEMANTIC 
SVT_CHI_BACK2BACK_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_4_TIMES_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_8_TIMES_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_PATTERN_SEQ 
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_W_ALLOW_RETRY_PATTERN_SEQ 
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_WO_ALLOW_RETRY_PATTERN_SEQ 
SVT_CHI_BACK2BACK_COPYBACK_TRANSACTION_PATTERN_SEQ 
SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_PATTERN_SEQ 
SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ 
SVT_CHI_BACK2BACK_N_TIMES_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_PATTERN_SEQ 
SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_W_EXPCOMPACK_PATTERN_SEQ 
SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_PATTERN_SEQ 
SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_W_EXPCOMPACK_PATTERN_SEQ 
SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_EP_ORDERED_WRITENOSNP_PATTERN_SEQ 
SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ 
SVT_CHI_BASE_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_CACHE_LINE_SIZE 
SVT_CHI_CACHE_LINE_SIZE 
SVT_CHI_CACHE_LINE_SIZE_IN_BYTES 
SVT_CHI_CACHE_SNOOP_RESPONSE_GEN_SOURCE 
SVT_CHI_CACHE_STATE_I 
SVT_CHI_CACHE_STATE_SC 
SVT_CHI_CACHE_STATE_SD 
SVT_CHI_CACHE_STATE_UC 
SVT_CHI_CACHE_STATE_UCE 
SVT_CHI_CACHE_STATE_UD 
SVT_CHI_CACHE_STATE_UDP 
SVT_CHI_CANCELLED_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_CANCELLED_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_CBUSY_WIDTH 
SVT_CHI_CCID_DATA_127_DOWN_TO_0 
SVT_CHI_CCID_DATA_255_DOWN_TO_128 
SVT_CHI_CCID_DATA_383_DOWN_TO_256 
SVT_CHI_CCID_DATA_511_DOWN_TO_384 
SVT_CHI_CCID_WIDTH 
SVT_CHI_CLEAN_CURRENT_STATE 
SVT_CHI_CLEAN_FINAL_STATE 
SVT_CHI_COHERENCY_CONNECT_STATE 
SVT_CHI_COHERENCY_DISABLED_STATE 
SVT_CHI_COHERENCY_DISCONNECT_STATE 
SVT_CHI_COHERENCY_ENABLED_STATE 
SVT_CHI_COHERENT_EXCL_ACCESS_FAIL 
SVT_CHI_COHERENT_EXCL_ACCESS_INITIAL 
SVT_CHI_COHERENT_EXCL_ACCESS_PASS 
SVT_CHI_COMMON_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MAX_VALUE 
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MID_BIN_VAL_RANGE 
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MIN_VALUE 
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP 
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP_W_SAME_ADDR 
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE 
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE_W_SAME_ADDR 
SVT_CHI_COV_MAX_NUM_BACK2BACK_CYCLES_PROTOCOL_FLITV_ASSERTION 
SVT_CHI_COV_MPAM_BINS 
SVT_CHI_COV_WEIGHT_VAL_0 
SVT_CHI_COV_WEIGHT_VAL_0 
SVT_CHI_COV_WEIGHT_VAL_1 
SVT_CHI_COV_WEIGHT_VAL_1 
SVT_CHI_CTRL_REG_NO_ERR 
SVT_CHI_D_COV_DBID_BINS 
SVT_CHI_D_COV_TXNID_BINS 
SVT_CHI_D_OR_EARLIER_SPEC_BEHAVIOR 
SVT_CHI_DAT_FLIT 
SVT_CHI_DAT_FLIT_DBID_MECID_WIDTH 
SVT_CHI_DAT_FLIT_FORMAT_128BIT 
SVT_CHI_DAT_FLIT_FORMAT_256BIT 
SVT_CHI_DAT_FLIT_FORMAT_512BIT 
SVT_CHI_DAT_FLIT_FWDSTATE_DATAPULL_DATASOURCE_WIDTH 
SVT_CHI_DAT_FLIT_LSB_BE 
SVT_CHI_DAT_FLIT_LSB_CBUSY 
SVT_CHI_DAT_FLIT_LSB_CCID 
SVT_CHI_DAT_FLIT_LSB_DATA 
SVT_CHI_DAT_FLIT_LSB_DATACHECK 
SVT_CHI_DAT_FLIT_LSB_DATAID 
SVT_CHI_DAT_FLIT_LSB_DBID_MECID 
SVT_CHI_DAT_FLIT_LSB_FWDSTATE_DATAPULL_DATASOURCE 
SVT_CHI_DAT_FLIT_LSB_HOMENID_PBHA 
SVT_CHI_DAT_FLIT_LSB_OPCODE 
SVT_CHI_DAT_FLIT_LSB_POISON 
SVT_CHI_DAT_FLIT_LSB_QOS 
SVT_CHI_DAT_FLIT_LSB_RESP 
SVT_CHI_DAT_FLIT_LSB_RESPERR 
SVT_CHI_DAT_FLIT_LSB_RSVDC 
SVT_CHI_DAT_FLIT_LSB_SRCID 
SVT_CHI_DAT_FLIT_LSB_TGTID 
SVT_CHI_DAT_FLIT_LSB_TRACETAG 
SVT_CHI_DAT_FLIT_LSB_TXNID 
SVT_CHI_DAT_FLIT_MAX_BE_WIDTH 
SVT_CHI_DAT_FLIT_MAX_DATA_WIDTH 
SVT_CHI_DAT_FLIT_MAX_DATACHECK_WIDTH 
SVT_CHI_DAT_FLIT_MAX_POISON_WIDTH 
SVT_CHI_DAT_FLIT_MAX_RSVDC_WIDTH 
SVT_CHI_DAT_FWDSTATE_DATAPULL_WIDTH 
SVT_CHI_DAT_RESERVED_FWDSTATE_DATAPULL_WIDTH 
SVT_CHI_DAT_RSVDC_WIDTH 
SVT_CHI_DAT_USER_EXTN_MAX_WIDTH 
SVT_CHI_DAT_VC_FLIT_OP_COMPDATA 
SVT_CHI_DAT_VC_FLIT_OP_COPYBACKWRDATA 
SVT_CHI_DAT_VC_FLIT_OP_DATASEPRESP 
SVT_CHI_DAT_VC_FLIT_OP_DATLINKFLIT 
SVT_CHI_DAT_VC_FLIT_OP_NCBWRDATACOMPACK 
SVT_CHI_DAT_VC_FLIT_OP_NONCOPYBACKWRDATA 
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATA 
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAFWDED 
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAPTL 
SVT_CHI_DAT_VC_FLIT_OP_WIDTH 
SVT_CHI_DAT_VC_FLIT_OP_WRITEDATACANCEL 
SVT_CHI_DATA_ID_WIDTH 
SVT_CHI_DATA_PULL_WIDTH 
SVT_CHI_DATA_SIZE_16BYTE 
SVT_CHI_DATA_SIZE_1BYTE 
SVT_CHI_DATA_SIZE_2BYTE 
SVT_CHI_DATA_SIZE_32BYTE 
SVT_CHI_DATA_SIZE_4BYTE 
SVT_CHI_DATA_SIZE_64BYTE 
SVT_CHI_DATA_SIZE_8BYTE 
SVT_CHI_DATA_SOURCE_WIDTH 
SVT_CHI_DATACHECK_INTERNAL_WIDTH_ENABLE 
SVT_CHI_DBID_WIDTH 
SVT_CHI_DEBUG_PORT_WIDTH 
SVT_CHI_DEF_CFG_NUM_CHANNELS 
SVT_CHI_DEF_MAX_CHANNELS 
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_DVM_SNOOP_XACT 
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_NON_DVM_SNOOP_XACT 
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_SNOOP_XACT 
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_XACT 
SVT_CHI_DEFAULT_ADV_CURR_LCRD_HIGH_RANGE_START_VAL 
SVT_CHI_DEFAULT_ADV_CURR_LCRD_MED_RANGE_START_VAL 
SVT_CHI_DOMAIN_TYPE_INNERSNOOPABLE 
SVT_CHI_DOMAIN_TYPE_NONSNOOPABLE 
SVT_CHI_DOMAIN_TYPE_OUTERSNOOPABLE 
SVT_CHI_DOMAIN_TYPE_SNOOPABLE 
SVT_CHI_DONOTDATAPULL_WIDTH 
SVT_CHI_DONOTGOTOSD_WIDTH 
SVT_CHI_DVM_MSG_TYPE_BITVEC_SLICE 
SVT_CHI_DVM_MSG_TYPE_SYNC 
SVT_CHI_DVM_MSG_TYPE_WIDTH 
SVT_CHI_DVM_PART_NUM_BIT 
SVT_CHI_DVM_VA_VALID_BIT 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_DVMOP_SYNC_PFOLLOWED_BY_DVMOP_TLBI_ATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ 
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ 
SVT_CHI_DVMOP_TYPE_BRANCH_PREDICTOR_INVALIDATE 
SVT_CHI_DVMOP_TYPE_PHY_INS_CACHE_INVALIDATE 
SVT_CHI_DVMOP_TYPE_SYNC 
SVT_CHI_DVMOP_TYPE_TLB_INVALIDATE 
SVT_CHI_DVMOP_TYPE_VIRTUAL_INS_CACHE_INVALIDATE 
SVT_CHI_E_SPEC_BEHAVIOR 
SVT_CHI_ENABLE_COHERENT_XACT 
SVT_CHI_ENABLE_COHERENT_XACT 
SVT_CHI_ENABLE_DCT 
SVT_CHI_ENABLE_DMT 
SVT_CHI_ENABLE_DWT 
SVT_CHI_ENABLE_MEMORY_TAGGING 
SVT_CHI_ENABLE_ORD_STASH_DATA_PULL 
SVT_CHI_ENABLE_SEP_RD_DATA_SEP_RSP 
SVT_CHI_ENABLE_STASH 
SVT_CHI_ENABLE_STASH_DATA_PULL 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_ERROR_CTRL_REG_WIDTH 
SVT_CHI_EXCL_MON_FAILURE_COND_DEFAULT_VALUE_XACT_DROPPED 
SVT_CHI_EXCL_MON_INVALID 
SVT_CHI_EXCL_MON_INVALID_MAX_EXCL_ACCESS_XACT_DROPPED 
SVT_CHI_EXCL_MON_RESET 
SVT_CHI_EXCL_MON_RESET_ACCESS_FAIL_XACT_DROPPED 
SVT_CHI_EXCL_MON_RESET_SNOOP_INVALIDATION_XACT_DROPPED 
SVT_CHI_EXCL_MON_RESET_STORE_WITHOUT_LOAD_XACT_DROPPED 
SVT_CHI_EXCL_MON_SET 
SVT_CHI_EXCL_MON_SET_ACCESS_FAIL_XACT_DROPPED 
SVT_CHI_EXCL_MON_SET_ACCESS_PASS_XACT_DROPPED 
SVT_CHI_EXCL_MONITOR_DEFAULT 
SVT_CHI_EXCL_MONITOR_NO_ENTRY 
SVT_CHI_EXCL_MONITOR_RESET 
SVT_CHI_EXCL_MONITOR_SET 
SVT_CHI_EXCL_SNPME_CAH_WIDTH 
SVT_CHI_EXCL_WIDTH 
SVT_CHI_EXCLUDE_UNSTARTED_XACT 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_FROM_DIFF_LPID_PATTERN_SEQ 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_PATTERN_SEQ 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_PATTERN_SEQ 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_MEMORY_ATTRIBUTE_PATTERN_SEQ 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_SNOOP_ATTRIBUTE_PATTERN_SEQ 
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_SAME_MEMORY_ATTRIBUTE_PATTERN_SEQ 
SVT_CHI_EXPCOMPACK_WIDTH 
SVT_CHI_F_PRINT_XACT_FIELD 
SVT_CHI_F_PRINT_XACT_NSE 
SVT_CHI_FLIT_CAUSAL_PRINT_PREFIX 
SVT_CHI_FLIT_DATA_WIDTH_128BIT 
SVT_CHI_FLIT_DATA_WIDTH_256BIT 
SVT_CHI_FLIT_DATA_WIDTH_512BIT 
SVT_CHI_FLIT_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_FLIT_PRINT_PREFIX 
SVT_CHI_FLIT_RSVDC_WIDTH_0BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_12BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_16BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_24BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_32BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_4BIT 
SVT_CHI_FLIT_RSVDC_WIDTH_8BIT 
SVT_CHI_FORWARD_NID_PBHA_WIDTH 
SVT_CHI_FORWARD_NID_WIDTH 
SVT_CHI_FORWARD_TXN_ID_WIDTH 
SVT_CHI_FWD_STATE_WIDTH 
SVT_CHI_GET_XACT_END_TIME 
SVT_CHI_GET_XACT_START_TIME 
SVT_CHI_HN 
SVT_CHI_HN_ALWAYS_FORWARD_AS_READ_AND_WRITE 
SVT_CHI_HN_ALWAYS_FORWARD_ATOMICS 
SVT_CHI_HN_FORWARD_AS_ATOMICS_OR_AS_READ_AND_WRITE 
SVT_CHI_HN_NODE_IDX_WIDTH 
SVT_CHI_HN_STATUS_DEFAULT_ADDRESS_BASED_FLUSH_POLICY 
SVT_CHI_HOME_NID_PBHA_WIDTH 
SVT_CHI_HOME_NID_WIDTH 
SVT_CHI_HYBRID_DATA_FORMAT 
SVT_CHI_IC_CFG_DEFAULT_CHI_SPEC_REVISION 
SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_DAT_CB_NAME 
SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_RSP_CB_NAME 
SVT_CHI_IC_RN_TRANSACTION_SV 
SVT_CHI_IC_SN_TRANSACTION_SV 
SVT_CHI_IF_PARITY_DAT_FLIT_CHK_WIDTH 
SVT_CHI_IF_PARITY_REQ_FLIT_CHK_WIDTH 
SVT_CHI_IF_PARITY_RSP_FLIT_CHK_WIDTH 
SVT_CHI_IF_PARITY_SNP_FLIT_CHK_WIDTH 
SVT_CHI_INACTIVE_HIGH_VAL 
SVT_CHI_INACTIVE_LOW_VAL 
SVT_CHI_INACTIVE_PREV_VAL 
SVT_CHI_INACTIVE_RAND_VAL 
SVT_CHI_INACTIVE_X_VAL 
SVT_CHI_INACTIVE_Z_VAL 
SVT_CHI_INT_TYPE_WIDTH 
SVT_CHI_INTERFACE_HN_F 
SVT_CHI_INTERFACE_HN_I 
SVT_CHI_INTERFACE_IC_SN_F 
SVT_CHI_INTERFACE_IC_SN_I 
SVT_CHI_INTERFACE_PARITY_ENABLE_INTERNAL 
SVT_CHI_INTERFACE_PARITY_GRANULARITY 
SVT_CHI_INTERFACE_RN_D 
SVT_CHI_INTERFACE_RN_F 
SVT_CHI_INTERFACE_RN_I 
SVT_CHI_INTERFACE_SN_F 
SVT_CHI_INTERFACE_SN_I 
SVT_CHI_INTERNAL_SPEC_ISSUE_MACROS_DEFINED 
SVT_CHI_IS_XACT_BARRIER 
SVT_CHI_IS_XACT_CMO 
SVT_CHI_IS_XACT_COPYBACK 
SVT_CHI_IS_XACT_DVMOP 
SVT_CHI_IS_XACT_PCRDRETURN 
SVT_CHI_IS_XACT_PREFETCHTGT 
SVT_CHI_IS_XACT_READ 
SVT_CHI_IS_XACT_WRITE 
SVT_CHI_ISSUE_A_ENABLE 
SVT_CHI_ISSUE_B_ENABLE 
SVT_CHI_ISSUE_C_ENABLE 
SVT_CHI_ISSUE_D_ONLY_FEATURES_ENABLE 
SVT_CHI_ISSUE_E_INTERNAL_ENABLE 
SVT_CHI_ISSUE_F_INTERNAL_ENABLE 
SVT_CHI_ISSUE_G_INTERNAL_ENABLE 
SVT_CHI_LIKELYSHARED_WIDTH 
SVT_CHI_LIMITED_VALIDATION_FEATURE_MSG_COV 
SVT_CHI_LINK_ACTIVITY_MAX_DELAY_COUNT 
SVT_CHI_LINK_LAYER 
SVT_CHI_LOAD_FOLLOWED_BY_STORE_FOLLOWED_BY_STORE_PATTERN_SEQ 
SVT_CHI_LOAD_FOLLOWED_BY_STORE_PATTERN_SEQ 
SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE 
SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE 
SVT_CHI_LPID_WIDTH 
SVT_CHI_MAX_ADDR_WIDTH 
SVT_CHI_MAX_ATOMIC_BE_WIDTH 
SVT_CHI_MAX_ATOMIC_DATA_WIDTH 
SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH 
SVT_CHI_MAX_ATOMIC_LD_ST_BE_WIDTH 
SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH 
SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH 
SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH 
SVT_CHI_MAX_ATOMIC_POISON_WIDTH 
SVT_CHI_MAX_BE_WIDTH 
SVT_CHI_MAX_CBUSY_VALUE 
SVT_CHI_MAX_COMPDATATOCOMPACKFLIT_DELAY 
SVT_CHI_MAX_COMPTODBID_DELAY 
SVT_CHI_MAX_DAT_FLIT_REORDERING_DEPTH 
SVT_CHI_MAX_DAT_FLIT_TO_LCRD_DELAY 
SVT_CHI_MAX_DAT_FLIT_WIDTH 
SVT_CHI_MAX_DATA_WIDTH 
SVT_CHI_MAX_DATACHECK_WIDTH 
SVT_CHI_MAX_DBIDTOCOMP_DELAY 
SVT_CHI_MAX_DBIDTODATAFLIT_DELAY 
SVT_CHI_MAX_FLIT_BUFFER_SIZE 
SVT_CHI_MAX_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY 
SVT_CHI_MAX_LPID_WIDTH 
SVT_CHI_MAX_MIN_CYCLES_IN_DEACTIVE 
SVT_CHI_MAX_MPAM_PARTID_WIDTH 
SVT_CHI_MAX_MPAM_PERFMONGROUP_WIDTH 
SVT_CHI_MAX_NODE_ID_WIDTH 
SVT_CHI_MAX_NUM_CACHE_LINES 
SVT_CHI_MAX_NUM_CLOCK_CYCLES_SPECULATIVE_SACTIVE_SIGNAL_ASSERTED 
SVT_CHI_MAX_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES 
SVT_CHI_MAX_NUM_EXCLUSIVE_ACCESS 
SVT_CHI_MAX_NUM_HNS 
SVT_CHI_MAX_NUM_INTERCONNECTS 
SVT_CHI_MAX_NUM_LCREDITS_XMITTED_IN_DEACTIVATE_STATE 
SVT_CHI_MAX_NUM_OUTSTANDING_SNOOP_XACT 
SVT_CHI_MAX_NUM_OUTSTANDING_XACT 
SVT_CHI_MAX_NUM_REQ_ORDER_STREAMS 
SVT_CHI_MAX_NUM_RNS 
SVT_CHI_MAX_NUM_SNS 
SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES 
SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES 
SVT_CHI_MAX_NUM_SYSTEMS 
SVT_CHI_MAX_PA_WIDTH 
SVT_CHI_MAX_PCREDITGRANTTORETRYACK_DELAY 
SVT_CHI_MAX_PERMITTED_TXN_ID_WIDTH 
SVT_CHI_MAX_POISON_WIDTH 
SVT_CHI_MAX_QOS_VALUE 
SVT_CHI_MAX_RD_INTERLEAVE_DEPTH 
SVT_CHI_MAX_READ_DATA_INTERLEAVE_SIZE 
SVT_CHI_MAX_READ_FIFO_DRAIN_RATE 
SVT_CHI_MAX_READ_FIFO_FULL_LEVEL 
SVT_CHI_MAX_REQ_CHANNELS 
SVT_CHI_MAX_REQ_FLIT_TO_LCRD_DELAY 
SVT_CHI_MAX_REQ_FLIT_WIDTH 
SVT_CHI_MAX_REQTOCOMP_DELAY 
SVT_CHI_MAX_REQTOCOMPDATA_DELAY 
SVT_CHI_MAX_REQTOCOMPDBID_DELAY 
SVT_CHI_MAX_REQTOCOMPPERSIST_DELAY 
SVT_CHI_MAX_REQTODBID_DELAY 
SVT_CHI_MAX_REQTOPCREDITGRANT_DELAY 
SVT_CHI_MAX_REQTOPERSIST_DELAY 
SVT_CHI_MAX_REQTORETRYACK_DELAY 
SVT_CHI_MAX_RETRYACKTOPCREDITGRANT_DELAY 
SVT_CHI_MAX_RSP_FLIT_REORDERING_DEPTH 
SVT_CHI_MAX_RSP_FLIT_TO_LCRD_DELAY 
SVT_CHI_MAX_RSP_FLIT_WIDTH 
SVT_CHI_MAX_RXDAT_CHANNELS 
SVT_CHI_MAX_RXDATLCRDV_DELAY 
SVT_CHI_MAX_RXRSP_CHANNELS 
SVT_CHI_MAX_RXRSPLCRDV_DELAY 
SVT_CHI_MAX_RXSNP_CHANNELS 
SVT_CHI_MAX_RXSNPLCRDV_DELAY 
SVT_CHI_MAX_SNP_FLIT_TO_LCRD_DELAY 
SVT_CHI_MAX_SNP_FLIT_WIDTH 
SVT_CHI_MAX_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY 
SVT_CHI_MAX_TAGGED_ADDR_WIDTH 
SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITPEND_DELAY 
SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITV_DELAY 
SVT_CHI_MAX_TX_FLIT_DELAY 
SVT_CHI_MAX_TX_FLITPEND_FLITV_DELAY 
SVT_CHI_MAX_TXDAT_CHANNELS 
SVT_CHI_MAX_TXDATFLITPEND_DELAY 
SVT_CHI_MAX_TXDATFLITV_DELAY 
SVT_CHI_MAX_TXN_ID_WIDTH 
SVT_CHI_MAX_TXREQFLITPEND_DELAY 
SVT_CHI_MAX_TXREQFLITV_DELAY 
SVT_CHI_MAX_TXRSP_CHANNELS 
SVT_CHI_MAX_TXRSPFLITPEND_DELAY 
SVT_CHI_MAX_TXRSPFLITV_DELAY 
SVT_CHI_MAX_TXSNPFLITV_DELAY 
SVT_CHI_MAX_VA_WIDTH 
SVT_CHI_MAX_VAL_FOR_LINK_ACTIVATION_TIMEOUT 
SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIME 
SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIMEOUT 
SVT_CHI_MAX_VAL_RX_VC_CREDIT_TRANSMISSION_TIMEOUT 
SVT_CHI_MAX_WR_INTERLEAVE_DEPTH 
SVT_CHI_MAX_WRITE_DATA_INTERLEAVE_SIZE 
SVT_CHI_MAX_WRITE_FIFO_FILL_RATE 
SVT_CHI_MAX_WRITE_FIFO_FULL_LEVEL 
SVT_CHI_MAX_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT 
SVT_CHI_MAX_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT 
SVT_CHI_MEM_ATTR_WIDTH 
svt_chi_mem_debug 
svt_chi_mem_error 
svt_chi_mem_note 
SVT_CHI_MEM_TYPE_DEVICE 
SVT_CHI_MEM_TYPE_NORMAL 
svt_chi_mem_verbose 
svt_chi_mem_warning 
SVT_CHI_MEMORY_RESPONSE_GEN_SOURCE 
SVT_CHI_MID_COMPDATATOCOMPACKFLIT_DELAY 
SVT_CHI_MID_DBIDTODATAFLIT_DELAY 
SVT_CHI_MID_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY 
SVT_CHI_MIN_COMPDATATOCOMPACKFLIT_DELAY 
SVT_CHI_MIN_COMPTODBID_DELAY 
SVT_CHI_MIN_DAT_FLIT_TO_LCRD_DELAY 
SVT_CHI_MIN_DBIDTOCOMP_DELAY 
SVT_CHI_MIN_DBIDTODATAFLIT_DELAY 
SVT_CHI_MIN_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY 
SVT_CHI_MIN_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES 
SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES 
SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES 
SVT_CHI_MIN_PCREDITGRANTTORETRYACK_DELAY 
SVT_CHI_MIN_REQ_FLIT_TO_LCRD_DELAY 
SVT_CHI_MIN_REQTOCOMP_DELAY 
SVT_CHI_MIN_REQTOCOMPDATA_DELAY 
SVT_CHI_MIN_REQTOCOMPDBID_DELAY 
SVT_CHI_MIN_REQTOCOMPPERSIST_DELAY 
SVT_CHI_MIN_REQTODBID_DELAY 
SVT_CHI_MIN_REQTOPCREDITGRANT_DELAY 
SVT_CHI_MIN_REQTOPERSIST_DELAY 
SVT_CHI_MIN_REQTORETRYACK_DELAY 
SVT_CHI_MIN_RETRYACKTOPCREDITGRANT_DELAY 
SVT_CHI_MIN_RSP_FLIT_TO_LCRD_DELAY 
SVT_CHI_MIN_RXDATLCRDV_DELAY 
SVT_CHI_MIN_RXRSPLCRDV_DELAY 
SVT_CHI_MIN_RXSNPLCRDV_DELAY 
SVT_CHI_MIN_SNP_FLIT_TO_LCRD_DELAY 
SVT_CHI_MIN_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY 
SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY 
SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITPEND_DELAY 
SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITV_DELAY 
SVT_CHI_MIN_TXDATFLITPEND_DELAY 
SVT_CHI_MIN_TXDATFLITV_DELAY 
SVT_CHI_MIN_TXREQFLITPEND_DELAY 
SVT_CHI_MIN_TXREQFLITV_DELAY 
SVT_CHI_MIN_TXRSPFLITPEND_DELAY 
SVT_CHI_MIN_TXRSPFLITV_DELAY 
SVT_CHI_MIN_TXSNPFLITV_DELAY 
SVT_CHI_MONITOR_IF_HOLD_TIME 
SVT_CHI_MONITOR_IF_SETUP_TIME 
SVT_CHI_MPAM_INTERNAL_WIDTH_ENABLE 
SVT_CHI_MPAM_NS_WIDTH 
SVT_CHI_MPAM_PARTID_WIDTH_12BIT 
SVT_CHI_MPAM_PARTID_WIDTH_9BIT 
SVT_CHI_MPAM_WIDTH 
SVT_CHI_MS_SCENARIO_GEN_SOURCE 
SVT_CHI_NEW_LINK_ERR_CHECK_STATS 
SVT_CHI_NEW_LINK_WARN_CHECK_STATS 
SVT_CHI_NEW_PROT_ERR_CHECK_STATS 
SVT_CHI_NEW_PROT_WARN_CHECK_STATS 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_NO_ORDERING_REQUIRED 
SVT_CHI_NO_SOURCE 
SVT_CHI_NODE_CFG_DEFAULT_ASYNC_INPUT_BANNED_OUTPUT_RACE_LINK_ACTIVE_STATES_TIMEOUT 
SVT_CHI_NODE_CFG_DEFAULT_CHI_SPEC_REVISION 
SVT_CHI_NODE_CFG_DEFAULT_CLEANSHAREDPERSISTSEP_XACT_ENABLE 
SVT_CHI_NODE_CFG_DEFAULT_ENABLE_MPAM 
SVT_CHI_NODE_CFG_DEFAULT_IF_PARITY 
SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_ASYNC_INPUT_RACE_STATE_EXPECTED 
SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_BANNED_OUTPUT_RACE_STATE_EXPECTED 
SVT_CHI_NODE_CFG_DEFAULT_MPAM_PARTID_PMG_TYPE 
SVT_CHI_NODE_CFG_DEFAULT_NDERR_RESP_POLICY 
SVT_CHI_NODE_CFG_DEFAULT_RESET_TYPE 
SVT_CHI_NODE_CFG_DEFAULT_STOP_SNP_LCRD_XMISSION_WHEN_TXLA_NOT_IN_RUN_STATE 
SVT_CHI_NODE_CFG_PARTIAL_CACHE_STATES_ENABLE 
SVT_CHI_NODE_COV_NUM_BYTE_ENABLE_BINS 
SVT_CHI_NODE_ID_WIDTH 
SVT_CHI_NODE_INFO_PRINT_PREFIX 
SVT_CHI_NON_COHERENT_EXCLUSIVE_ACCESS_CONDITION 
SVT_CHI_NON_CONTIGUOUS_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NON_CONTIGUOUS_4_TIMES_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NON_CONTIGUOUS_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NON_CONTIGUOUS_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NON_CONTIGUOUS_8_TIMES_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NON_CONTIGUOUS_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ 
SVT_CHI_NORMAL_CMO_XACT 
SVT_CHI_NS_WIDTH 
SVT_CHI_NUM_P_CRD_TYPES 
SVT_CHI_ORDER_WIDTH 
SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID 
SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID 
SVT_CHI_P_CRD_TYPE0 
SVT_CHI_P_CRD_TYPE1 
SVT_CHI_P_CRD_TYPE10 
SVT_CHI_P_CRD_TYPE11 
SVT_CHI_P_CRD_TYPE12 
SVT_CHI_P_CRD_TYPE13 
SVT_CHI_P_CRD_TYPE14 
SVT_CHI_P_CRD_TYPE15 
SVT_CHI_P_CRD_TYPE2 
SVT_CHI_P_CRD_TYPE3 
SVT_CHI_P_CRD_TYPE4 
SVT_CHI_P_CRD_TYPE5 
SVT_CHI_P_CRD_TYPE6 
SVT_CHI_P_CRD_TYPE7 
SVT_CHI_P_CRD_TYPE8 
SVT_CHI_P_CRD_TYPE9 
SVT_CHI_P_CRD_TYPE_WIDTH 
SVT_CHI_PCRDRETURN_TYPE_SEMANTIC 
SVT_CHI_PERSIST_CMO_XACT 
SVT_CHI_PGROUPID_WIDTH 
SVT_CHI_POISON_INTERNAL_WIDTH_ENABLE 
SVT_CHI_PREFETCHTGT_TYPE_SEMANTIC 
SVT_CHI_PREV_RXDATFLITV_VALID_REF 
SVT_CHI_PREV_TXDATFLITV_VALID_REF 
SVT_CHI_PREV_TXREQFLITPEND_VALID_REF 
SVT_CHI_PRINT_PREFIX 
SVT_CHI_PRINT_PREFIX1 
SVT_CHI_PROT_SVC_PRINT_PREFIX 
SVT_CHI_PROTOCOL_LAYER 
SVT_CHI_QOS_WIDTH 
SVT_CHI_READ_FOLLOWED_BY_READ_PATTERN_SEQ 
SVT_CHI_READ_FOLLOWED_BY_WRITE_PATTERN_SEQ 
SVT_CHI_READ_TYPE_SEMANTIC 
SVT_CHI_REASONABLE_FLIT_BUFFER_SIZE 
SVT_CHI_REASONABLE_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT 
SVT_CHI_REASONABLE_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT 
SVT_CHI_REORDERING_PRIORITIZED 
SVT_CHI_REORDERING_RANDOM 
SVT_CHI_REORDERING_ROUND_ROBIN 
SVT_CHI_REPLICATED_CHANNELS_INTERNAL_ENABLE 
SVT_CHI_REQ_ADDR_WIDTH 
SVT_CHI_REQ_EP_ORDERING_REQUIRED 
SVT_CHI_REQ_FLIT 
SVT_CHI_REQ_FLIT_LSB_ADDRESS 
SVT_CHI_REQ_FLIT_LSB_ALLOWRETRY 
SVT_CHI_REQ_FLIT_LSB_EXCL_SNPME_CAH 
SVT_CHI_REQ_FLIT_LSB_EXPCOMPACK 
SVT_CHI_REQ_FLIT_LSB_LIKELYSHARED 
SVT_CHI_REQ_FLIT_LSB_LPID_PGROUPID_TAGGROUPID_STASHGROUPID 
SVT_CHI_REQ_FLIT_LSB_MEMATTR 
SVT_CHI_REQ_FLIT_LSB_MPAM 
SVT_CHI_REQ_FLIT_LSB_NS 
SVT_CHI_REQ_FLIT_LSB_OPCODE 
SVT_CHI_REQ_FLIT_LSB_ORDER 
SVT_CHI_REQ_FLIT_LSB_PCRDTYPE 
SVT_CHI_REQ_FLIT_LSB_QOS 
SVT_CHI_REQ_FLIT_LSB_RETURNNID_STASHNID 
SVT_CHI_REQ_FLIT_LSB_RETURNTXNID_STASHLPID 
SVT_CHI_REQ_FLIT_LSB_RSVDC 
SVT_CHI_REQ_FLIT_LSB_SIZE 
SVT_CHI_REQ_FLIT_LSB_SNPATTR_DODWT 
SVT_CHI_REQ_FLIT_LSB_SRCID 
SVT_CHI_REQ_FLIT_LSB_STASHLPID_VALID 
SVT_CHI_REQ_FLIT_LSB_STASHNIDVALID_ENDIAN_DEEP_PREFETCHTGTHINT 
SVT_CHI_REQ_FLIT_LSB_TGTID 
SVT_CHI_REQ_FLIT_LSB_TRACETAG 
SVT_CHI_REQ_FLIT_LSB_TXNID 
SVT_CHI_REQ_FLIT_MAX_RSVDC_WIDTH 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ 
SVT_CHI_REQ_ORDERING_REQUIRED 
SVT_CHI_REQ_PACK_UNPACK_WIDTH 
SVT_CHI_REQ_RESERVED_STASHLPID_WIDTH 
SVT_CHI_REQ_RSVDC_WIDTH 
SVT_CHI_REQ_USER_EXTN_MAX_WIDTH 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICCOMPARE 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_ADD 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_CLR 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_EOR 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SET 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMAX 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMIN 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMAX 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMIN 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_ADD 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_CLR 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_EOR 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SET 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMAX 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMIN 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMAX 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMIN 
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSWAP 
SVT_CHI_REQ_VC_FLIT_OP_CLEANINVALID 
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHARED 
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSIST 
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSISTSEP 
SVT_CHI_REQ_VC_FLIT_OP_CLEANUNIQUE 
SVT_CHI_REQ_VC_FLIT_OP_DVMOP 
SVT_CHI_REQ_VC_FLIT_OP_ECBARRIER 
SVT_CHI_REQ_VC_FLIT_OP_EOBARRIER 
SVT_CHI_REQ_VC_FLIT_OP_EVICT 
SVT_CHI_REQ_VC_FLIT_OP_MAKEINVALID 
SVT_CHI_REQ_VC_FLIT_OP_MAKEUNIQUE 
SVT_CHI_REQ_VC_FLIT_OP_PCRDRETURN 
SVT_CHI_REQ_VC_FLIT_OP_PREFETCHTGT 
SVT_CHI_REQ_VC_FLIT_OP_READCLEAN 
SVT_CHI_REQ_VC_FLIT_OP_READNOSNP 
SVT_CHI_REQ_VC_FLIT_OP_READNOSNPSEP 
SVT_CHI_REQ_VC_FLIT_OP_READNOTSHAREDDIRTY 
SVT_CHI_REQ_VC_FLIT_OP_READONCE 
SVT_CHI_REQ_VC_FLIT_OP_READONCECLEANINVALID 
SVT_CHI_REQ_VC_FLIT_OP_READONCEMAKEINVALID 
SVT_CHI_REQ_VC_FLIT_OP_READSHARED 
SVT_CHI_REQ_VC_FLIT_OP_READSPEC 
SVT_CHI_REQ_VC_FLIT_OP_READUNIQUE 
SVT_CHI_REQ_VC_FLIT_OP_REQLINKFLIT 
SVT_CHI_REQ_VC_FLIT_OP_STASHONCESHARED 
SVT_CHI_REQ_VC_FLIT_OP_STASHONCEUNIQUE 
SVT_CHI_REQ_VC_FLIT_OP_WIDTH 
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL 
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKPTL 
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANFULL 
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANPTL 
SVT_CHI_REQ_VC_FLIT_OP_WRITEEVICTFULL 
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL 
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL 
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULL 
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULLSTASH 
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTL 
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTLSTASH 
SVT_CHI_REQFLITV_FOR_PCREDITGRANT_VALID_REF 
SVT_CHI_REQFLITV_FOR_RETRYACK_VALID_REF 
SVT_CHI_REQUEST_ACCEPTED 
SVT_CHI_RESET_ALL_XACT 
SVT_CHI_RESP_ERR_STATUS_DATA_ERROR 
SVT_CHI_RESP_ERR_STATUS_EXCLUSIVE_OKAY 
SVT_CHI_RESP_ERR_STATUS_NON_DATA_ERROR 
SVT_CHI_RESP_ERR_STATUS_NORMAL_OKAY 
SVT_CHI_RESP_ERR_STATUS_WIDTH 
SVT_CHI_RESP_WIDTH 
SVT_CHI_RETRY_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETRY_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETRY_TRANS_W_SAME_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETRY_TRANS_W_SAME_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_RETTOSRC_WIDTH 
SVT_CHI_RETURN_NID_WIDTH 
SVT_CHI_RETURN_TXN_ID_WIDTH 
SVT_CHI_RN 
SVT_CHI_RN_CLK 
SVT_CHI_RN_IF_HOLD_TIME 
SVT_CHI_RN_IF_SETUP_TIME 
SVT_CHI_RN_MAX_RXDAT_CHANNELS 
SVT_CHI_RN_MAX_RXRSP_CHANNELS 
SVT_CHI_RN_MAX_RXSNP_CHANNELS 
SVT_CHI_RN_MAX_TXDAT_CHANNELS 
SVT_CHI_RN_MAX_TXREQ_CHANNELS 
SVT_CHI_RN_MAX_TXRSP_CHANNELS 
SVT_CHI_RN_RESETN 
SVT_CHI_RN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_RSP_FLIT 
SVT_CHI_RSP_FLIT_LSB_CBUSY 
SVT_CHI_RSP_FLIT_LSB_DBID_PGROUPID 
SVT_CHI_RSP_FLIT_LSB_FWDSTATE_DATAPULL 
SVT_CHI_RSP_FLIT_LSB_OPCODE 
SVT_CHI_RSP_FLIT_LSB_PCRDTYPE 
SVT_CHI_RSP_FLIT_LSB_QOS 
SVT_CHI_RSP_FLIT_LSB_RESP 
SVT_CHI_RSP_FLIT_LSB_RESPERR 
SVT_CHI_RSP_FLIT_LSB_SRCID 
SVT_CHI_RSP_FLIT_LSB_TGTID 
SVT_CHI_RSP_FLIT_LSB_TRACETAG 
SVT_CHI_RSP_FLIT_LSB_TXNID 
SVT_CHI_RSP_PACK_UNPACK_WIDTH 
SVT_CHI_RSP_RESERVED_GROUPID_WIDTH 
SVT_CHI_RSP_USER_EXTN_MAX_WIDTH 
SVT_CHI_RSP_VC_FLIT_OP_COMP 
SVT_CHI_RSP_VC_FLIT_OP_COMPACK 
SVT_CHI_RSP_VC_FLIT_OP_COMPDBIDRESP 
SVT_CHI_RSP_VC_FLIT_OP_COMPPERSIST 
SVT_CHI_RSP_VC_FLIT_OP_DBIDRESP 
SVT_CHI_RSP_VC_FLIT_OP_PCRDGRANT 
SVT_CHI_RSP_VC_FLIT_OP_PERSIST 
SVT_CHI_RSP_VC_FLIT_OP_READRECEIPT 
SVT_CHI_RSP_VC_FLIT_OP_RESPSEPDATA 
SVT_CHI_RSP_VC_FLIT_OP_RETRYACK 
SVT_CHI_RSP_VC_FLIT_OP_RSPLINKFLIT 
SVT_CHI_RSP_VC_FLIT_OP_SNPRESP 
SVT_CHI_RSP_VC_FLIT_OP_SNPRESPFWDED 
SVT_CHI_RSP_VC_FLIT_OP_WIDTH 
SVT_CHI_RSPFLITV_FOR_PCREDITGRANT_TO_RETRYACK_VALID_REF 
SVT_CHI_RSPFLITV_FOR_RETRYACK_TO_PCREDITGRANT_VALID_REF 
SVT_CHI_RXLA_ACK_ASSERTION_MAX_DELAY 
SVT_CHI_RXLA_ACK_ASSERTION_MIN_DELAY 
SVT_CHI_RXLA_ACK_DEASSERTION_MAX_DELAY 
SVT_CHI_RXLA_ACK_DEASSERTION_MIN_DELAY 
SVT_CHI_RXLA_ACTIVATE_STATE 
SVT_CHI_RXLA_DEACTIVATE_STATE 
SVT_CHI_RXLA_RUN_STATE 
SVT_CHI_RXLA_STOP_STATE 
SVT_CHI_RXRSPFLITV_VALID_REF 
SVT_CHI_RXSNPFLITV_VALID_REF 
SVT_CHI_SCENARIO_GEN_SOURCE 
SVT_CHI_SIMPLE_RESPONSE_GEN_SOURCE 
SVT_CHI_SIZE_WIDTH 
SVT_CHI_SN 
SVT_CHI_SN_CLK 
SVT_CHI_SN_IF_HOLD_TIME 
SVT_CHI_SN_IF_SETUP_TIME 
SVT_CHI_SN_MAX_RXDAT_CHANNELS 
SVT_CHI_SN_MAX_RXREQ_CHANNELS 
SVT_CHI_SN_MAX_TXDAT_CHANNELS 
SVT_CHI_SN_MAX_TXRSP_CHANNELS 
SVT_CHI_SN_RESETN 
SVT_CHI_SN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_SNOOP_INIT_STATE_ISUNIQUE 
SVT_CHI_SNOOP_PRINT_PREFIX 
SVT_CHI_SNOOP_RESP_ISSHARED 
SVT_CHI_SNOOP_RESP_ISUNIQUE 
SVT_CHI_SNOOP_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ 
SVT_CHI_SNP_ADDR_WIDTH 
SVT_CHI_SNP_ATTR_WIDTH 
SVT_CHI_SNP_DOMAIN_INNER 
SVT_CHI_SNP_DOMAIN_OUTER 
SVT_CHI_SNP_ERR_EXCL_SEQ_FAIL 
SVT_CHI_SNP_FLIT 
SVT_CHI_SNP_FLIT_LSB_ADDR 
SVT_CHI_SNP_FLIT_LSB_DONOTGOTOSD 
SVT_CHI_SNP_FLIT_LSB_FWDNID_PBHA 
SVT_CHI_SNP_FLIT_LSB_FWDTXNID 
SVT_CHI_SNP_FLIT_LSB_MPAM 
SVT_CHI_SNP_FLIT_LSB_NS 
SVT_CHI_SNP_FLIT_LSB_OPCODE 
SVT_CHI_SNP_FLIT_LSB_QOS 
SVT_CHI_SNP_FLIT_LSB_RETTOSRC 
SVT_CHI_SNP_FLIT_LSB_SRCID 
SVT_CHI_SNP_FLIT_LSB_STASHLPID_VALID 
SVT_CHI_SNP_FLIT_LSB_TRACETAG 
SVT_CHI_SNP_FLIT_LSB_TXNID 
SVT_CHI_SNP_PACK_UNPACK_WIDTH 
SVT_CHI_SNP_PRINT_PREFIX 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEAN 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANFWD 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANINVALID 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANSHARED 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPDVMOP 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPLINKFLIT 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALID 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALIDSTASH 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTY 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTYFWD 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCE 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCEFWD 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHARED 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHAREDFWD 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHSHARED 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHUNIQUE 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUE 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUEFWD 
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUESTASH 
SVT_CHI_SNP_RESERVED_STASHLPID_WIDTH 
SVT_CHI_SNP_RESERVED_VMIDEXT_WIDTH 
SVT_CHI_SNP_USER_EXTN_MAX_WIDTH 
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEAN 
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANFWD 
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANINVALID 
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANSHARED 
SVT_CHI_SNP_VC_FLIT_OP_SNPDVMOP 
SVT_CHI_SNP_VC_FLIT_OP_SNPLINKFLIT 
SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALID 
SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALIDSTASH 
SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTY 
SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTYFWD 
SVT_CHI_SNP_VC_FLIT_OP_SNPONCE 
SVT_CHI_SNP_VC_FLIT_OP_SNPONCEFWD 
SVT_CHI_SNP_VC_FLIT_OP_SNPSHARED 
SVT_CHI_SNP_VC_FLIT_OP_SNPSHAREDFWD 
SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHSHARED 
SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHUNIQUE 
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUE 
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUEFWD 
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUESTASH 
SVT_CHI_SNP_VC_FLIT_OP_WIDTH 
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MAX_VALUE 
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MID_BIN_VAL_RANGE 
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MIN_VALUE 
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_D 
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_E 
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_UPTO_ISSUE_C 
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_FOR_ISSUE_D 
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_UPTO_ISSUE_C 
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_FOR_ISSUE_D 
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_UPTO_ISSUE_C 
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_D 
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_E 
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_UPTO_ISSUE_C 
SVT_CHI_SPEC_REV_ISSUE_A 
SVT_CHI_SPEC_REV_ISSUE_B 
SVT_CHI_SPEC_REV_ISSUE_C 
SVT_CHI_SPEC_REV_ISSUE_D 
SVT_CHI_SPEC_REV_ISSUE_E 
SVT_CHI_SPEC_REV_ISSUE_F 
SVT_CHI_SPEC_REV_ISSUE_G 
SVT_CHI_SRC_ID_WIDTH 
SVT_CHI_STANDARD_DATA_FORMAT 
SVT_CHI_STASH_LPID_WIDTH 
SVT_CHI_STASH_NID_WIDTH 
SVT_CHI_STASHLPIDVALID_WIDTH 
SVT_CHI_STASHNIDVALID_WIDTH 
SVT_CHI_STREAMING_ORDERED_WRITENOSNP_TRANSACTIONS 
SVT_CHI_STREAMING_ORDERED_WRITEUNIQUE_TRANSACTIONS 
SVT_CHI_SUPER_MAX_DAT_FLIT_WIDTH 
SVT_CHI_SYS_CFG_ABF_ENABLE 
SVT_CHI_SYS_COV_NUM_BYTE_ENABLE_BINS 
SVT_CHI_SYSCOACK_ASSERTION_MAX_DELAY 
SVT_CHI_SYSCOACK_DEASSERTION_MAX_DELAY 
SVT_CHI_SYSCOREQ_ASSERTION_MAX_DELAY 
SVT_CHI_SYSCOREQ_DEASSERTION_MAX_DELAY 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_ASSOCIATED_NONSTASH_SNOOP_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT1 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT2 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_I_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT1 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT2 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT1 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT2 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_I_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_FINAL_CACHE_LINE_STATE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_HOME_NODE_IDX 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT1 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT2 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_I_PORTS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNOOP_RESP_HAS_DATA_XFER 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNP_RESP_PD 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASHTYPE_ASSOCIATED_SNP_PRESENT 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_READ_XACT_ASSOC_SNP_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_WRITE_XACT_ASSOC_SNP_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_COHERENT_EXCLUSIVE_READ_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_COHERENT_EXCLUSIVE_READ_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_XACT_BYTE_ENABLE_VAL 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNOOP_FILTER_ACCESS_STATUS 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_ASSOCIATE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_DATA_XFER 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_PTL_DATA_XFER 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RESP_PD 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RSP_FINAL_CACHE_LINE_STATE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_LPID_VALID 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_NID_VALID 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNOOP_RESP_HAS_DATA_XFER 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_RESP_PD 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_DONOTDATAPULL 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_TYPE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_FINAL_STATE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_DMT_USED 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_RESPSEPDATA_DATASEPRESP_FLOW_USED 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_PASSDIRTY 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCESHARED_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCEUNIQUE_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHTYPE_ASSOCIATED_SNP_PRESENT 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_DONOTGOTOSD 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RETTOSRC 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RSP_DATATRANSFER 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEDATACANCEL_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTL_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTLSTASH_OPCODE 
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUESTASH_OPCODE 
SVT_CHI_SYSTEM_TRANSACTION_SV 
SVT_CHI_TAG_ADDR_WIDTH 
SVT_CHI_TGT_ID_WIDTH 
SVT_CHI_THREE_READ_REQUEST_EP_ORDERING_TRANSACTION_PATTERN_SEQ 
SVT_CHI_THREE_READ_REQUEST_ORDERING_TRANSACTION_PATTERN_SEQ 
SVT_CHI_THREE_READ_REQUEST_REQ_ORDERING_TRANSACTION_PATTERN_SEQ 
SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_NON_DVMOP_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_TLBI_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ 
SVT_CHI_TRACE_TAG_WIDTH 
SVT_CHI_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS 
SVT_CHI_TRANSACTION_INTERLEAVE_RANDOM_BLOCK 
SVT_CHI_TRANSACTION_IS_RESP_OK 
SVT_CHI_TXDATFLITPEND_VALID_REF 
SVT_CHI_TXLA_ACTIVATE_STATE 
SVT_CHI_TXLA_DEACTIVATE_STATE 
SVT_CHI_TXLA_REQ_ASSERTION_MAX_DELAY 
SVT_CHI_TXLA_REQ_ASSERTION_MIN_DELAY 
SVT_CHI_TXLA_REQ_DEASSERTION_MAX_DELAY 
SVT_CHI_TXLA_REQ_DEASSERTION_MIN_DELAY 
SVT_CHI_TXLA_RUN_STATE 
SVT_CHI_TXLA_STOP_STATE 
SVT_CHI_TXN_ID_WIDTH 
SVT_CHI_TXREQFLITPEND_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_COMP_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_COMPDATA_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_COMPDBID_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_COMPPERSIST_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_COMPSTASHDONE_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_DBID_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_DBIDRESPORD_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_PERSIST_VALID_REF 
SVT_CHI_TXREQFLITV_FOR_STASHDONE_VALID_REF 
SVT_CHI_TXRSPFLITPEND_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_COMPTODBID_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_COMPTODBIDRESPORD_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_COMPTOSTASHDONE_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_DBIDRESPORDTOCOMP_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_DBIDTOCOMP_VALID_REF 
SVT_CHI_TXRSPFLITV_FOR_STASHDONETOCOMP_VALID_REF 
SVT_CHI_TXSNPFLITPEND_VALID_REF 
SVT_CHI_USER_EXTN_INTERNAL_ENABLE 
SVT_CHI_USER_EXTN_MAX_PERMITTED_TXN_ID_WIDTH 
SVT_CHI_USER_EXTN_SNP_USER_WIDTH_INTERNAL_ENABLE 
SVT_CHI_USER_RESPONSE_GEN_SOURCE 
SVT_CHI_USER_SNOOP_RESPONSE_GEN_SOURCE 
SVT_CHI_VALID_IDX_NUM_RNS_0 
SVT_CHI_VALID_IDX_NUM_RNS_1 
SVT_CHI_VALID_IDX_NUM_RNS_10 
SVT_CHI_VALID_IDX_NUM_RNS_100 
SVT_CHI_VALID_IDX_NUM_RNS_101 
SVT_CHI_VALID_IDX_NUM_RNS_102 
SVT_CHI_VALID_IDX_NUM_RNS_103 
SVT_CHI_VALID_IDX_NUM_RNS_104 
SVT_CHI_VALID_IDX_NUM_RNS_105 
SVT_CHI_VALID_IDX_NUM_RNS_106 
SVT_CHI_VALID_IDX_NUM_RNS_107 
SVT_CHI_VALID_IDX_NUM_RNS_108 
SVT_CHI_VALID_IDX_NUM_RNS_109 
SVT_CHI_VALID_IDX_NUM_RNS_11 
SVT_CHI_VALID_IDX_NUM_RNS_110 
SVT_CHI_VALID_IDX_NUM_RNS_111 
SVT_CHI_VALID_IDX_NUM_RNS_112 
SVT_CHI_VALID_IDX_NUM_RNS_113 
SVT_CHI_VALID_IDX_NUM_RNS_114 
SVT_CHI_VALID_IDX_NUM_RNS_115 
SVT_CHI_VALID_IDX_NUM_RNS_116 
SVT_CHI_VALID_IDX_NUM_RNS_117 
SVT_CHI_VALID_IDX_NUM_RNS_118 
SVT_CHI_VALID_IDX_NUM_RNS_119 
SVT_CHI_VALID_IDX_NUM_RNS_12 
SVT_CHI_VALID_IDX_NUM_RNS_120 
SVT_CHI_VALID_IDX_NUM_RNS_121 
SVT_CHI_VALID_IDX_NUM_RNS_122 
SVT_CHI_VALID_IDX_NUM_RNS_123 
SVT_CHI_VALID_IDX_NUM_RNS_124 
SVT_CHI_VALID_IDX_NUM_RNS_125 
SVT_CHI_VALID_IDX_NUM_RNS_126 
SVT_CHI_VALID_IDX_NUM_RNS_127 
SVT_CHI_VALID_IDX_NUM_RNS_128 
SVT_CHI_VALID_IDX_NUM_RNS_129 
SVT_CHI_VALID_IDX_NUM_RNS_13 
SVT_CHI_VALID_IDX_NUM_RNS_130 
SVT_CHI_VALID_IDX_NUM_RNS_131 
SVT_CHI_VALID_IDX_NUM_RNS_132 
SVT_CHI_VALID_IDX_NUM_RNS_133 
SVT_CHI_VALID_IDX_NUM_RNS_134 
SVT_CHI_VALID_IDX_NUM_RNS_135 
SVT_CHI_VALID_IDX_NUM_RNS_136 
SVT_CHI_VALID_IDX_NUM_RNS_137 
SVT_CHI_VALID_IDX_NUM_RNS_138 
SVT_CHI_VALID_IDX_NUM_RNS_139 
SVT_CHI_VALID_IDX_NUM_RNS_14 
SVT_CHI_VALID_IDX_NUM_RNS_140 
SVT_CHI_VALID_IDX_NUM_RNS_141 
SVT_CHI_VALID_IDX_NUM_RNS_142 
SVT_CHI_VALID_IDX_NUM_RNS_143 
SVT_CHI_VALID_IDX_NUM_RNS_144 
SVT_CHI_VALID_IDX_NUM_RNS_145 
SVT_CHI_VALID_IDX_NUM_RNS_146 
SVT_CHI_VALID_IDX_NUM_RNS_147 
SVT_CHI_VALID_IDX_NUM_RNS_148 
SVT_CHI_VALID_IDX_NUM_RNS_149 
SVT_CHI_VALID_IDX_NUM_RNS_15 
SVT_CHI_VALID_IDX_NUM_RNS_150 
SVT_CHI_VALID_IDX_NUM_RNS_151 
SVT_CHI_VALID_IDX_NUM_RNS_152 
SVT_CHI_VALID_IDX_NUM_RNS_153 
SVT_CHI_VALID_IDX_NUM_RNS_154 
SVT_CHI_VALID_IDX_NUM_RNS_155 
SVT_CHI_VALID_IDX_NUM_RNS_156 
SVT_CHI_VALID_IDX_NUM_RNS_157 
SVT_CHI_VALID_IDX_NUM_RNS_158 
SVT_CHI_VALID_IDX_NUM_RNS_159 
SVT_CHI_VALID_IDX_NUM_RNS_16 
SVT_CHI_VALID_IDX_NUM_RNS_160 
SVT_CHI_VALID_IDX_NUM_RNS_161 
SVT_CHI_VALID_IDX_NUM_RNS_162 
SVT_CHI_VALID_IDX_NUM_RNS_163 
SVT_CHI_VALID_IDX_NUM_RNS_164 
SVT_CHI_VALID_IDX_NUM_RNS_165 
SVT_CHI_VALID_IDX_NUM_RNS_166 
SVT_CHI_VALID_IDX_NUM_RNS_167 
SVT_CHI_VALID_IDX_NUM_RNS_168 
SVT_CHI_VALID_IDX_NUM_RNS_169 
SVT_CHI_VALID_IDX_NUM_RNS_17 
SVT_CHI_VALID_IDX_NUM_RNS_170 
SVT_CHI_VALID_IDX_NUM_RNS_171 
SVT_CHI_VALID_IDX_NUM_RNS_172 
SVT_CHI_VALID_IDX_NUM_RNS_173 
SVT_CHI_VALID_IDX_NUM_RNS_174 
SVT_CHI_VALID_IDX_NUM_RNS_175 
SVT_CHI_VALID_IDX_NUM_RNS_176 
SVT_CHI_VALID_IDX_NUM_RNS_177 
SVT_CHI_VALID_IDX_NUM_RNS_178 
SVT_CHI_VALID_IDX_NUM_RNS_179 
SVT_CHI_VALID_IDX_NUM_RNS_18 
SVT_CHI_VALID_IDX_NUM_RNS_180 
SVT_CHI_VALID_IDX_NUM_RNS_181 
SVT_CHI_VALID_IDX_NUM_RNS_182 
SVT_CHI_VALID_IDX_NUM_RNS_183 
SVT_CHI_VALID_IDX_NUM_RNS_184 
SVT_CHI_VALID_IDX_NUM_RNS_185 
SVT_CHI_VALID_IDX_NUM_RNS_186 
SVT_CHI_VALID_IDX_NUM_RNS_187 
SVT_CHI_VALID_IDX_NUM_RNS_188 
SVT_CHI_VALID_IDX_NUM_RNS_189 
SVT_CHI_VALID_IDX_NUM_RNS_19 
SVT_CHI_VALID_IDX_NUM_RNS_190 
SVT_CHI_VALID_IDX_NUM_RNS_191 
SVT_CHI_VALID_IDX_NUM_RNS_192 
SVT_CHI_VALID_IDX_NUM_RNS_193 
SVT_CHI_VALID_IDX_NUM_RNS_194 
SVT_CHI_VALID_IDX_NUM_RNS_195 
SVT_CHI_VALID_IDX_NUM_RNS_196 
SVT_CHI_VALID_IDX_NUM_RNS_197 
SVT_CHI_VALID_IDX_NUM_RNS_198 
SVT_CHI_VALID_IDX_NUM_RNS_199 
SVT_CHI_VALID_IDX_NUM_RNS_2 
SVT_CHI_VALID_IDX_NUM_RNS_20 
SVT_CHI_VALID_IDX_NUM_RNS_200 
SVT_CHI_VALID_IDX_NUM_RNS_201 
SVT_CHI_VALID_IDX_NUM_RNS_202 
SVT_CHI_VALID_IDX_NUM_RNS_203 
SVT_CHI_VALID_IDX_NUM_RNS_204 
SVT_CHI_VALID_IDX_NUM_RNS_205 
SVT_CHI_VALID_IDX_NUM_RNS_206 
SVT_CHI_VALID_IDX_NUM_RNS_207 
SVT_CHI_VALID_IDX_NUM_RNS_208 
SVT_CHI_VALID_IDX_NUM_RNS_209 
SVT_CHI_VALID_IDX_NUM_RNS_21 
SVT_CHI_VALID_IDX_NUM_RNS_210 
SVT_CHI_VALID_IDX_NUM_RNS_211 
SVT_CHI_VALID_IDX_NUM_RNS_212 
SVT_CHI_VALID_IDX_NUM_RNS_213 
SVT_CHI_VALID_IDX_NUM_RNS_214 
SVT_CHI_VALID_IDX_NUM_RNS_215 
SVT_CHI_VALID_IDX_NUM_RNS_216 
SVT_CHI_VALID_IDX_NUM_RNS_217 
SVT_CHI_VALID_IDX_NUM_RNS_218 
SVT_CHI_VALID_IDX_NUM_RNS_219 
SVT_CHI_VALID_IDX_NUM_RNS_22 
SVT_CHI_VALID_IDX_NUM_RNS_220 
SVT_CHI_VALID_IDX_NUM_RNS_221 
SVT_CHI_VALID_IDX_NUM_RNS_222 
SVT_CHI_VALID_IDX_NUM_RNS_223 
SVT_CHI_VALID_IDX_NUM_RNS_224 
SVT_CHI_VALID_IDX_NUM_RNS_225 
SVT_CHI_VALID_IDX_NUM_RNS_226 
SVT_CHI_VALID_IDX_NUM_RNS_227 
SVT_CHI_VALID_IDX_NUM_RNS_228 
SVT_CHI_VALID_IDX_NUM_RNS_229 
SVT_CHI_VALID_IDX_NUM_RNS_23 
SVT_CHI_VALID_IDX_NUM_RNS_230 
SVT_CHI_VALID_IDX_NUM_RNS_231 
SVT_CHI_VALID_IDX_NUM_RNS_232 
SVT_CHI_VALID_IDX_NUM_RNS_233 
SVT_CHI_VALID_IDX_NUM_RNS_234 
SVT_CHI_VALID_IDX_NUM_RNS_235 
SVT_CHI_VALID_IDX_NUM_RNS_236 
SVT_CHI_VALID_IDX_NUM_RNS_237 
SVT_CHI_VALID_IDX_NUM_RNS_238 
SVT_CHI_VALID_IDX_NUM_RNS_239 
SVT_CHI_VALID_IDX_NUM_RNS_24 
SVT_CHI_VALID_IDX_NUM_RNS_240 
SVT_CHI_VALID_IDX_NUM_RNS_241 
SVT_CHI_VALID_IDX_NUM_RNS_242 
SVT_CHI_VALID_IDX_NUM_RNS_243 
SVT_CHI_VALID_IDX_NUM_RNS_244 
SVT_CHI_VALID_IDX_NUM_RNS_245 
SVT_CHI_VALID_IDX_NUM_RNS_246 
SVT_CHI_VALID_IDX_NUM_RNS_247 
SVT_CHI_VALID_IDX_NUM_RNS_248 
SVT_CHI_VALID_IDX_NUM_RNS_249 
SVT_CHI_VALID_IDX_NUM_RNS_25 
SVT_CHI_VALID_IDX_NUM_RNS_250 
SVT_CHI_VALID_IDX_NUM_RNS_251 
SVT_CHI_VALID_IDX_NUM_RNS_252 
SVT_CHI_VALID_IDX_NUM_RNS_253 
SVT_CHI_VALID_IDX_NUM_RNS_254 
SVT_CHI_VALID_IDX_NUM_RNS_255 
SVT_CHI_VALID_IDX_NUM_RNS_256 
SVT_CHI_VALID_IDX_NUM_RNS_257 
SVT_CHI_VALID_IDX_NUM_RNS_258 
SVT_CHI_VALID_IDX_NUM_RNS_259 
SVT_CHI_VALID_IDX_NUM_RNS_26 
SVT_CHI_VALID_IDX_NUM_RNS_260 
SVT_CHI_VALID_IDX_NUM_RNS_261 
SVT_CHI_VALID_IDX_NUM_RNS_262 
SVT_CHI_VALID_IDX_NUM_RNS_263 
SVT_CHI_VALID_IDX_NUM_RNS_264 
SVT_CHI_VALID_IDX_NUM_RNS_265 
SVT_CHI_VALID_IDX_NUM_RNS_266 
SVT_CHI_VALID_IDX_NUM_RNS_267 
SVT_CHI_VALID_IDX_NUM_RNS_268 
SVT_CHI_VALID_IDX_NUM_RNS_269 
SVT_CHI_VALID_IDX_NUM_RNS_27 
SVT_CHI_VALID_IDX_NUM_RNS_270 
SVT_CHI_VALID_IDX_NUM_RNS_271 
SVT_CHI_VALID_IDX_NUM_RNS_272 
SVT_CHI_VALID_IDX_NUM_RNS_273 
SVT_CHI_VALID_IDX_NUM_RNS_274 
SVT_CHI_VALID_IDX_NUM_RNS_275 
SVT_CHI_VALID_IDX_NUM_RNS_276 
SVT_CHI_VALID_IDX_NUM_RNS_277 
SVT_CHI_VALID_IDX_NUM_RNS_278 
SVT_CHI_VALID_IDX_NUM_RNS_279 
SVT_CHI_VALID_IDX_NUM_RNS_28 
SVT_CHI_VALID_IDX_NUM_RNS_280 
SVT_CHI_VALID_IDX_NUM_RNS_281 
SVT_CHI_VALID_IDX_NUM_RNS_282 
SVT_CHI_VALID_IDX_NUM_RNS_283 
SVT_CHI_VALID_IDX_NUM_RNS_284 
SVT_CHI_VALID_IDX_NUM_RNS_285 
SVT_CHI_VALID_IDX_NUM_RNS_286 
SVT_CHI_VALID_IDX_NUM_RNS_287 
SVT_CHI_VALID_IDX_NUM_RNS_288 
SVT_CHI_VALID_IDX_NUM_RNS_289 
SVT_CHI_VALID_IDX_NUM_RNS_29 
SVT_CHI_VALID_IDX_NUM_RNS_290 
SVT_CHI_VALID_IDX_NUM_RNS_291 
SVT_CHI_VALID_IDX_NUM_RNS_292 
SVT_CHI_VALID_IDX_NUM_RNS_293 
SVT_CHI_VALID_IDX_NUM_RNS_294 
SVT_CHI_VALID_IDX_NUM_RNS_295 
SVT_CHI_VALID_IDX_NUM_RNS_296 
SVT_CHI_VALID_IDX_NUM_RNS_297 
SVT_CHI_VALID_IDX_NUM_RNS_298 
SVT_CHI_VALID_IDX_NUM_RNS_299 
SVT_CHI_VALID_IDX_NUM_RNS_3 
SVT_CHI_VALID_IDX_NUM_RNS_30 
SVT_CHI_VALID_IDX_NUM_RNS_300 
SVT_CHI_VALID_IDX_NUM_RNS_301 
SVT_CHI_VALID_IDX_NUM_RNS_302 
SVT_CHI_VALID_IDX_NUM_RNS_303 
SVT_CHI_VALID_IDX_NUM_RNS_304 
SVT_CHI_VALID_IDX_NUM_RNS_305 
SVT_CHI_VALID_IDX_NUM_RNS_306 
SVT_CHI_VALID_IDX_NUM_RNS_307 
SVT_CHI_VALID_IDX_NUM_RNS_308 
SVT_CHI_VALID_IDX_NUM_RNS_309 
SVT_CHI_VALID_IDX_NUM_RNS_31 
SVT_CHI_VALID_IDX_NUM_RNS_310 
SVT_CHI_VALID_IDX_NUM_RNS_311 
SVT_CHI_VALID_IDX_NUM_RNS_312 
SVT_CHI_VALID_IDX_NUM_RNS_313 
SVT_CHI_VALID_IDX_NUM_RNS_314 
SVT_CHI_VALID_IDX_NUM_RNS_315 
SVT_CHI_VALID_IDX_NUM_RNS_316 
SVT_CHI_VALID_IDX_NUM_RNS_317 
SVT_CHI_VALID_IDX_NUM_RNS_318 
SVT_CHI_VALID_IDX_NUM_RNS_319 
SVT_CHI_VALID_IDX_NUM_RNS_32 
SVT_CHI_VALID_IDX_NUM_RNS_320 
SVT_CHI_VALID_IDX_NUM_RNS_321 
SVT_CHI_VALID_IDX_NUM_RNS_322 
SVT_CHI_VALID_IDX_NUM_RNS_323 
SVT_CHI_VALID_IDX_NUM_RNS_324 
SVT_CHI_VALID_IDX_NUM_RNS_325 
SVT_CHI_VALID_IDX_NUM_RNS_326 
SVT_CHI_VALID_IDX_NUM_RNS_327 
SVT_CHI_VALID_IDX_NUM_RNS_328 
SVT_CHI_VALID_IDX_NUM_RNS_329 
SVT_CHI_VALID_IDX_NUM_RNS_33 
SVT_CHI_VALID_IDX_NUM_RNS_330 
SVT_CHI_VALID_IDX_NUM_RNS_331 
SVT_CHI_VALID_IDX_NUM_RNS_332 
SVT_CHI_VALID_IDX_NUM_RNS_333 
SVT_CHI_VALID_IDX_NUM_RNS_334 
SVT_CHI_VALID_IDX_NUM_RNS_335 
SVT_CHI_VALID_IDX_NUM_RNS_336 
SVT_CHI_VALID_IDX_NUM_RNS_337 
SVT_CHI_VALID_IDX_NUM_RNS_338 
SVT_CHI_VALID_IDX_NUM_RNS_339 
SVT_CHI_VALID_IDX_NUM_RNS_34 
SVT_CHI_VALID_IDX_NUM_RNS_340 
SVT_CHI_VALID_IDX_NUM_RNS_341 
SVT_CHI_VALID_IDX_NUM_RNS_342 
SVT_CHI_VALID_IDX_NUM_RNS_343 
SVT_CHI_VALID_IDX_NUM_RNS_344 
SVT_CHI_VALID_IDX_NUM_RNS_345 
SVT_CHI_VALID_IDX_NUM_RNS_346 
SVT_CHI_VALID_IDX_NUM_RNS_347 
SVT_CHI_VALID_IDX_NUM_RNS_348 
SVT_CHI_VALID_IDX_NUM_RNS_349 
SVT_CHI_VALID_IDX_NUM_RNS_35 
SVT_CHI_VALID_IDX_NUM_RNS_350 
SVT_CHI_VALID_IDX_NUM_RNS_351 
SVT_CHI_VALID_IDX_NUM_RNS_352 
SVT_CHI_VALID_IDX_NUM_RNS_353 
SVT_CHI_VALID_IDX_NUM_RNS_354 
SVT_CHI_VALID_IDX_NUM_RNS_355 
SVT_CHI_VALID_IDX_NUM_RNS_356 
SVT_CHI_VALID_IDX_NUM_RNS_357 
SVT_CHI_VALID_IDX_NUM_RNS_358 
SVT_CHI_VALID_IDX_NUM_RNS_359 
SVT_CHI_VALID_IDX_NUM_RNS_36 
SVT_CHI_VALID_IDX_NUM_RNS_360 
SVT_CHI_VALID_IDX_NUM_RNS_361 
SVT_CHI_VALID_IDX_NUM_RNS_362 
SVT_CHI_VALID_IDX_NUM_RNS_363 
SVT_CHI_VALID_IDX_NUM_RNS_364 
SVT_CHI_VALID_IDX_NUM_RNS_365 
SVT_CHI_VALID_IDX_NUM_RNS_366 
SVT_CHI_VALID_IDX_NUM_RNS_367 
SVT_CHI_VALID_IDX_NUM_RNS_368 
SVT_CHI_VALID_IDX_NUM_RNS_369 
SVT_CHI_VALID_IDX_NUM_RNS_37 
SVT_CHI_VALID_IDX_NUM_RNS_370 
SVT_CHI_VALID_IDX_NUM_RNS_371 
SVT_CHI_VALID_IDX_NUM_RNS_372 
SVT_CHI_VALID_IDX_NUM_RNS_373 
SVT_CHI_VALID_IDX_NUM_RNS_374 
SVT_CHI_VALID_IDX_NUM_RNS_375 
SVT_CHI_VALID_IDX_NUM_RNS_376 
SVT_CHI_VALID_IDX_NUM_RNS_377 
SVT_CHI_VALID_IDX_NUM_RNS_378 
SVT_CHI_VALID_IDX_NUM_RNS_379 
SVT_CHI_VALID_IDX_NUM_RNS_38 
SVT_CHI_VALID_IDX_NUM_RNS_380 
SVT_CHI_VALID_IDX_NUM_RNS_381 
SVT_CHI_VALID_IDX_NUM_RNS_382 
SVT_CHI_VALID_IDX_NUM_RNS_383 
SVT_CHI_VALID_IDX_NUM_RNS_384 
SVT_CHI_VALID_IDX_NUM_RNS_385 
SVT_CHI_VALID_IDX_NUM_RNS_386 
SVT_CHI_VALID_IDX_NUM_RNS_387 
SVT_CHI_VALID_IDX_NUM_RNS_388 
SVT_CHI_VALID_IDX_NUM_RNS_389 
SVT_CHI_VALID_IDX_NUM_RNS_39 
SVT_CHI_VALID_IDX_NUM_RNS_390 
SVT_CHI_VALID_IDX_NUM_RNS_391 
SVT_CHI_VALID_IDX_NUM_RNS_392 
SVT_CHI_VALID_IDX_NUM_RNS_393 
SVT_CHI_VALID_IDX_NUM_RNS_394 
SVT_CHI_VALID_IDX_NUM_RNS_395 
SVT_CHI_VALID_IDX_NUM_RNS_396 
SVT_CHI_VALID_IDX_NUM_RNS_397 
SVT_CHI_VALID_IDX_NUM_RNS_398 
SVT_CHI_VALID_IDX_NUM_RNS_399 
SVT_CHI_VALID_IDX_NUM_RNS_4 
SVT_CHI_VALID_IDX_NUM_RNS_40 
SVT_CHI_VALID_IDX_NUM_RNS_400 
SVT_CHI_VALID_IDX_NUM_RNS_401 
SVT_CHI_VALID_IDX_NUM_RNS_402 
SVT_CHI_VALID_IDX_NUM_RNS_403 
SVT_CHI_VALID_IDX_NUM_RNS_404 
SVT_CHI_VALID_IDX_NUM_RNS_405 
SVT_CHI_VALID_IDX_NUM_RNS_406 
SVT_CHI_VALID_IDX_NUM_RNS_407 
SVT_CHI_VALID_IDX_NUM_RNS_408 
SVT_CHI_VALID_IDX_NUM_RNS_409 
SVT_CHI_VALID_IDX_NUM_RNS_41 
SVT_CHI_VALID_IDX_NUM_RNS_410 
SVT_CHI_VALID_IDX_NUM_RNS_411 
SVT_CHI_VALID_IDX_NUM_RNS_412 
SVT_CHI_VALID_IDX_NUM_RNS_413 
SVT_CHI_VALID_IDX_NUM_RNS_414 
SVT_CHI_VALID_IDX_NUM_RNS_415 
SVT_CHI_VALID_IDX_NUM_RNS_416 
SVT_CHI_VALID_IDX_NUM_RNS_417 
SVT_CHI_VALID_IDX_NUM_RNS_418 
SVT_CHI_VALID_IDX_NUM_RNS_419 
SVT_CHI_VALID_IDX_NUM_RNS_42 
SVT_CHI_VALID_IDX_NUM_RNS_420 
SVT_CHI_VALID_IDX_NUM_RNS_421 
SVT_CHI_VALID_IDX_NUM_RNS_422 
SVT_CHI_VALID_IDX_NUM_RNS_423 
SVT_CHI_VALID_IDX_NUM_RNS_424 
SVT_CHI_VALID_IDX_NUM_RNS_425 
SVT_CHI_VALID_IDX_NUM_RNS_426 
SVT_CHI_VALID_IDX_NUM_RNS_427 
SVT_CHI_VALID_IDX_NUM_RNS_428 
SVT_CHI_VALID_IDX_NUM_RNS_429 
SVT_CHI_VALID_IDX_NUM_RNS_43 
SVT_CHI_VALID_IDX_NUM_RNS_430 
SVT_CHI_VALID_IDX_NUM_RNS_431 
SVT_CHI_VALID_IDX_NUM_RNS_432 
SVT_CHI_VALID_IDX_NUM_RNS_433 
SVT_CHI_VALID_IDX_NUM_RNS_434 
SVT_CHI_VALID_IDX_NUM_RNS_435 
SVT_CHI_VALID_IDX_NUM_RNS_436 
SVT_CHI_VALID_IDX_NUM_RNS_437 
SVT_CHI_VALID_IDX_NUM_RNS_438 
SVT_CHI_VALID_IDX_NUM_RNS_439 
SVT_CHI_VALID_IDX_NUM_RNS_44 
SVT_CHI_VALID_IDX_NUM_RNS_440 
SVT_CHI_VALID_IDX_NUM_RNS_441 
SVT_CHI_VALID_IDX_NUM_RNS_442 
SVT_CHI_VALID_IDX_NUM_RNS_443 
SVT_CHI_VALID_IDX_NUM_RNS_444 
SVT_CHI_VALID_IDX_NUM_RNS_445 
SVT_CHI_VALID_IDX_NUM_RNS_446 
SVT_CHI_VALID_IDX_NUM_RNS_447 
SVT_CHI_VALID_IDX_NUM_RNS_448 
SVT_CHI_VALID_IDX_NUM_RNS_449 
SVT_CHI_VALID_IDX_NUM_RNS_45 
SVT_CHI_VALID_IDX_NUM_RNS_450 
SVT_CHI_VALID_IDX_NUM_RNS_451 
SVT_CHI_VALID_IDX_NUM_RNS_452 
SVT_CHI_VALID_IDX_NUM_RNS_453 
SVT_CHI_VALID_IDX_NUM_RNS_454 
SVT_CHI_VALID_IDX_NUM_RNS_455 
SVT_CHI_VALID_IDX_NUM_RNS_456 
SVT_CHI_VALID_IDX_NUM_RNS_457 
SVT_CHI_VALID_IDX_NUM_RNS_458 
SVT_CHI_VALID_IDX_NUM_RNS_459 
SVT_CHI_VALID_IDX_NUM_RNS_46 
SVT_CHI_VALID_IDX_NUM_RNS_460 
SVT_CHI_VALID_IDX_NUM_RNS_461 
SVT_CHI_VALID_IDX_NUM_RNS_462 
SVT_CHI_VALID_IDX_NUM_RNS_463 
SVT_CHI_VALID_IDX_NUM_RNS_464 
SVT_CHI_VALID_IDX_NUM_RNS_465 
SVT_CHI_VALID_IDX_NUM_RNS_466 
SVT_CHI_VALID_IDX_NUM_RNS_467 
SVT_CHI_VALID_IDX_NUM_RNS_468 
SVT_CHI_VALID_IDX_NUM_RNS_469 
SVT_CHI_VALID_IDX_NUM_RNS_47 
SVT_CHI_VALID_IDX_NUM_RNS_470 
SVT_CHI_VALID_IDX_NUM_RNS_471 
SVT_CHI_VALID_IDX_NUM_RNS_472 
SVT_CHI_VALID_IDX_NUM_RNS_473 
SVT_CHI_VALID_IDX_NUM_RNS_474 
SVT_CHI_VALID_IDX_NUM_RNS_475 
SVT_CHI_VALID_IDX_NUM_RNS_476 
SVT_CHI_VALID_IDX_NUM_RNS_477 
SVT_CHI_VALID_IDX_NUM_RNS_478 
SVT_CHI_VALID_IDX_NUM_RNS_479 
SVT_CHI_VALID_IDX_NUM_RNS_48 
SVT_CHI_VALID_IDX_NUM_RNS_480 
SVT_CHI_VALID_IDX_NUM_RNS_481 
SVT_CHI_VALID_IDX_NUM_RNS_482 
SVT_CHI_VALID_IDX_NUM_RNS_483 
SVT_CHI_VALID_IDX_NUM_RNS_484 
SVT_CHI_VALID_IDX_NUM_RNS_485 
SVT_CHI_VALID_IDX_NUM_RNS_486 
SVT_CHI_VALID_IDX_NUM_RNS_487 
SVT_CHI_VALID_IDX_NUM_RNS_488 
SVT_CHI_VALID_IDX_NUM_RNS_489 
SVT_CHI_VALID_IDX_NUM_RNS_49 
SVT_CHI_VALID_IDX_NUM_RNS_490 
SVT_CHI_VALID_IDX_NUM_RNS_491 
SVT_CHI_VALID_IDX_NUM_RNS_492 
SVT_CHI_VALID_IDX_NUM_RNS_493 
SVT_CHI_VALID_IDX_NUM_RNS_494 
SVT_CHI_VALID_IDX_NUM_RNS_495 
SVT_CHI_VALID_IDX_NUM_RNS_496 
SVT_CHI_VALID_IDX_NUM_RNS_497 
SVT_CHI_VALID_IDX_NUM_RNS_498 
SVT_CHI_VALID_IDX_NUM_RNS_499 
SVT_CHI_VALID_IDX_NUM_RNS_5 
SVT_CHI_VALID_IDX_NUM_RNS_50 
SVT_CHI_VALID_IDX_NUM_RNS_500 
SVT_CHI_VALID_IDX_NUM_RNS_501 
SVT_CHI_VALID_IDX_NUM_RNS_502 
SVT_CHI_VALID_IDX_NUM_RNS_503 
SVT_CHI_VALID_IDX_NUM_RNS_504 
SVT_CHI_VALID_IDX_NUM_RNS_505 
SVT_CHI_VALID_IDX_NUM_RNS_506 
SVT_CHI_VALID_IDX_NUM_RNS_507 
SVT_CHI_VALID_IDX_NUM_RNS_508 
SVT_CHI_VALID_IDX_NUM_RNS_509 
SVT_CHI_VALID_IDX_NUM_RNS_51 
SVT_CHI_VALID_IDX_NUM_RNS_510 
SVT_CHI_VALID_IDX_NUM_RNS_511 
SVT_CHI_VALID_IDX_NUM_RNS_512 
SVT_CHI_VALID_IDX_NUM_RNS_52 
SVT_CHI_VALID_IDX_NUM_RNS_53 
SVT_CHI_VALID_IDX_NUM_RNS_54 
SVT_CHI_VALID_IDX_NUM_RNS_55 
SVT_CHI_VALID_IDX_NUM_RNS_56 
SVT_CHI_VALID_IDX_NUM_RNS_57 
SVT_CHI_VALID_IDX_NUM_RNS_58 
SVT_CHI_VALID_IDX_NUM_RNS_59 
SVT_CHI_VALID_IDX_NUM_RNS_6 
SVT_CHI_VALID_IDX_NUM_RNS_60 
SVT_CHI_VALID_IDX_NUM_RNS_61 
SVT_CHI_VALID_IDX_NUM_RNS_62 
SVT_CHI_VALID_IDX_NUM_RNS_63 
SVT_CHI_VALID_IDX_NUM_RNS_64 
SVT_CHI_VALID_IDX_NUM_RNS_65 
SVT_CHI_VALID_IDX_NUM_RNS_66 
SVT_CHI_VALID_IDX_NUM_RNS_67 
SVT_CHI_VALID_IDX_NUM_RNS_68 
SVT_CHI_VALID_IDX_NUM_RNS_69 
SVT_CHI_VALID_IDX_NUM_RNS_7 
SVT_CHI_VALID_IDX_NUM_RNS_70 
SVT_CHI_VALID_IDX_NUM_RNS_71 
SVT_CHI_VALID_IDX_NUM_RNS_72 
SVT_CHI_VALID_IDX_NUM_RNS_73 
SVT_CHI_VALID_IDX_NUM_RNS_74 
SVT_CHI_VALID_IDX_NUM_RNS_75 
SVT_CHI_VALID_IDX_NUM_RNS_76 
SVT_CHI_VALID_IDX_NUM_RNS_77 
SVT_CHI_VALID_IDX_NUM_RNS_78 
SVT_CHI_VALID_IDX_NUM_RNS_79 
SVT_CHI_VALID_IDX_NUM_RNS_8 
SVT_CHI_VALID_IDX_NUM_RNS_80 
SVT_CHI_VALID_IDX_NUM_RNS_81 
SVT_CHI_VALID_IDX_NUM_RNS_82 
SVT_CHI_VALID_IDX_NUM_RNS_83 
SVT_CHI_VALID_IDX_NUM_RNS_84 
SVT_CHI_VALID_IDX_NUM_RNS_85 
SVT_CHI_VALID_IDX_NUM_RNS_86 
SVT_CHI_VALID_IDX_NUM_RNS_87 
SVT_CHI_VALID_IDX_NUM_RNS_88 
SVT_CHI_VALID_IDX_NUM_RNS_89 
SVT_CHI_VALID_IDX_NUM_RNS_9 
SVT_CHI_VALID_IDX_NUM_RNS_90 
SVT_CHI_VALID_IDX_NUM_RNS_91 
SVT_CHI_VALID_IDX_NUM_RNS_92 
SVT_CHI_VALID_IDX_NUM_RNS_93 
SVT_CHI_VALID_IDX_NUM_RNS_94 
SVT_CHI_VALID_IDX_NUM_RNS_95 
SVT_CHI_VALID_IDX_NUM_RNS_96 
SVT_CHI_VALID_IDX_NUM_RNS_97 
SVT_CHI_VALID_IDX_NUM_RNS_98 
SVT_CHI_VALID_IDX_NUM_RNS_99 
SVT_CHI_VALID_IDX_NUM_SNS_0 
SVT_CHI_VALID_IDX_NUM_SNS_1 
SVT_CHI_VALID_IDX_NUM_SNS_10 
SVT_CHI_VALID_IDX_NUM_SNS_100 
SVT_CHI_VALID_IDX_NUM_SNS_101 
SVT_CHI_VALID_IDX_NUM_SNS_102 
SVT_CHI_VALID_IDX_NUM_SNS_103 
SVT_CHI_VALID_IDX_NUM_SNS_104 
SVT_CHI_VALID_IDX_NUM_SNS_105 
SVT_CHI_VALID_IDX_NUM_SNS_106 
SVT_CHI_VALID_IDX_NUM_SNS_107 
SVT_CHI_VALID_IDX_NUM_SNS_108 
SVT_CHI_VALID_IDX_NUM_SNS_109 
SVT_CHI_VALID_IDX_NUM_SNS_11 
SVT_CHI_VALID_IDX_NUM_SNS_110 
SVT_CHI_VALID_IDX_NUM_SNS_111 
SVT_CHI_VALID_IDX_NUM_SNS_112 
SVT_CHI_VALID_IDX_NUM_SNS_113 
SVT_CHI_VALID_IDX_NUM_SNS_114 
SVT_CHI_VALID_IDX_NUM_SNS_115 
SVT_CHI_VALID_IDX_NUM_SNS_116 
SVT_CHI_VALID_IDX_NUM_SNS_117 
SVT_CHI_VALID_IDX_NUM_SNS_118 
SVT_CHI_VALID_IDX_NUM_SNS_119 
SVT_CHI_VALID_IDX_NUM_SNS_12 
SVT_CHI_VALID_IDX_NUM_SNS_120 
SVT_CHI_VALID_IDX_NUM_SNS_121 
SVT_CHI_VALID_IDX_NUM_SNS_122 
SVT_CHI_VALID_IDX_NUM_SNS_123 
SVT_CHI_VALID_IDX_NUM_SNS_124 
SVT_CHI_VALID_IDX_NUM_SNS_125 
SVT_CHI_VALID_IDX_NUM_SNS_126 
SVT_CHI_VALID_IDX_NUM_SNS_127 
SVT_CHI_VALID_IDX_NUM_SNS_128 
SVT_CHI_VALID_IDX_NUM_SNS_13 
SVT_CHI_VALID_IDX_NUM_SNS_14 
SVT_CHI_VALID_IDX_NUM_SNS_15 
SVT_CHI_VALID_IDX_NUM_SNS_16 
SVT_CHI_VALID_IDX_NUM_SNS_17 
SVT_CHI_VALID_IDX_NUM_SNS_18 
SVT_CHI_VALID_IDX_NUM_SNS_19 
SVT_CHI_VALID_IDX_NUM_SNS_2 
SVT_CHI_VALID_IDX_NUM_SNS_20 
SVT_CHI_VALID_IDX_NUM_SNS_21 
SVT_CHI_VALID_IDX_NUM_SNS_22 
SVT_CHI_VALID_IDX_NUM_SNS_23 
SVT_CHI_VALID_IDX_NUM_SNS_24 
SVT_CHI_VALID_IDX_NUM_SNS_25 
SVT_CHI_VALID_IDX_NUM_SNS_26 
SVT_CHI_VALID_IDX_NUM_SNS_27 
SVT_CHI_VALID_IDX_NUM_SNS_28 
SVT_CHI_VALID_IDX_NUM_SNS_29 
SVT_CHI_VALID_IDX_NUM_SNS_3 
SVT_CHI_VALID_IDX_NUM_SNS_30 
SVT_CHI_VALID_IDX_NUM_SNS_31 
SVT_CHI_VALID_IDX_NUM_SNS_32 
SVT_CHI_VALID_IDX_NUM_SNS_33 
SVT_CHI_VALID_IDX_NUM_SNS_34 
SVT_CHI_VALID_IDX_NUM_SNS_35 
SVT_CHI_VALID_IDX_NUM_SNS_36 
SVT_CHI_VALID_IDX_NUM_SNS_37 
SVT_CHI_VALID_IDX_NUM_SNS_38 
SVT_CHI_VALID_IDX_NUM_SNS_39 
SVT_CHI_VALID_IDX_NUM_SNS_4 
SVT_CHI_VALID_IDX_NUM_SNS_40 
SVT_CHI_VALID_IDX_NUM_SNS_41 
SVT_CHI_VALID_IDX_NUM_SNS_42 
SVT_CHI_VALID_IDX_NUM_SNS_43 
SVT_CHI_VALID_IDX_NUM_SNS_44 
SVT_CHI_VALID_IDX_NUM_SNS_45 
SVT_CHI_VALID_IDX_NUM_SNS_46 
SVT_CHI_VALID_IDX_NUM_SNS_47 
SVT_CHI_VALID_IDX_NUM_SNS_48 
SVT_CHI_VALID_IDX_NUM_SNS_49 
SVT_CHI_VALID_IDX_NUM_SNS_5 
SVT_CHI_VALID_IDX_NUM_SNS_50 
SVT_CHI_VALID_IDX_NUM_SNS_51 
SVT_CHI_VALID_IDX_NUM_SNS_52 
SVT_CHI_VALID_IDX_NUM_SNS_53 
SVT_CHI_VALID_IDX_NUM_SNS_54 
SVT_CHI_VALID_IDX_NUM_SNS_55 
SVT_CHI_VALID_IDX_NUM_SNS_56 
SVT_CHI_VALID_IDX_NUM_SNS_57 
SVT_CHI_VALID_IDX_NUM_SNS_58 
SVT_CHI_VALID_IDX_NUM_SNS_59 
SVT_CHI_VALID_IDX_NUM_SNS_6 
SVT_CHI_VALID_IDX_NUM_SNS_60 
SVT_CHI_VALID_IDX_NUM_SNS_61 
SVT_CHI_VALID_IDX_NUM_SNS_62 
SVT_CHI_VALID_IDX_NUM_SNS_63 
SVT_CHI_VALID_IDX_NUM_SNS_64 
SVT_CHI_VALID_IDX_NUM_SNS_65 
SVT_CHI_VALID_IDX_NUM_SNS_66 
SVT_CHI_VALID_IDX_NUM_SNS_67 
SVT_CHI_VALID_IDX_NUM_SNS_68 
SVT_CHI_VALID_IDX_NUM_SNS_69 
SVT_CHI_VALID_IDX_NUM_SNS_7 
SVT_CHI_VALID_IDX_NUM_SNS_70 
SVT_CHI_VALID_IDX_NUM_SNS_71 
SVT_CHI_VALID_IDX_NUM_SNS_72 
SVT_CHI_VALID_IDX_NUM_SNS_73 
SVT_CHI_VALID_IDX_NUM_SNS_74 
SVT_CHI_VALID_IDX_NUM_SNS_75 
SVT_CHI_VALID_IDX_NUM_SNS_76 
SVT_CHI_VALID_IDX_NUM_SNS_77 
SVT_CHI_VALID_IDX_NUM_SNS_78 
SVT_CHI_VALID_IDX_NUM_SNS_79 
SVT_CHI_VALID_IDX_NUM_SNS_8 
SVT_CHI_VALID_IDX_NUM_SNS_80 
SVT_CHI_VALID_IDX_NUM_SNS_81 
SVT_CHI_VALID_IDX_NUM_SNS_82 
SVT_CHI_VALID_IDX_NUM_SNS_83 
SVT_CHI_VALID_IDX_NUM_SNS_84 
SVT_CHI_VALID_IDX_NUM_SNS_85 
SVT_CHI_VALID_IDX_NUM_SNS_86 
SVT_CHI_VALID_IDX_NUM_SNS_87 
SVT_CHI_VALID_IDX_NUM_SNS_88 
SVT_CHI_VALID_IDX_NUM_SNS_89 
SVT_CHI_VALID_IDX_NUM_SNS_9 
SVT_CHI_VALID_IDX_NUM_SNS_90 
SVT_CHI_VALID_IDX_NUM_SNS_91 
SVT_CHI_VALID_IDX_NUM_SNS_92 
SVT_CHI_VALID_IDX_NUM_SNS_93 
SVT_CHI_VALID_IDX_NUM_SNS_94 
SVT_CHI_VALID_IDX_NUM_SNS_95 
SVT_CHI_VALID_IDX_NUM_SNS_96 
SVT_CHI_VALID_IDX_NUM_SNS_97 
SVT_CHI_VALID_IDX_NUM_SNS_98 
SVT_CHI_VALID_IDX_NUM_SNS_99 
SVT_CHI_VALID_RN_IDX_0 
SVT_CHI_VALID_RN_IDX_1 
SVT_CHI_VALID_RN_IDX_10 
SVT_CHI_VALID_RN_IDX_11 
SVT_CHI_VALID_RN_IDX_12 
SVT_CHI_VALID_RN_IDX_13 
SVT_CHI_VALID_RN_IDX_14 
SVT_CHI_VALID_RN_IDX_15 
SVT_CHI_VALID_RN_IDX_2 
SVT_CHI_VALID_RN_IDX_3 
SVT_CHI_VALID_RN_IDX_4 
SVT_CHI_VALID_RN_IDX_5 
SVT_CHI_VALID_RN_IDX_6 
SVT_CHI_VALID_RN_IDX_7 
SVT_CHI_VALID_RN_IDX_8 
SVT_CHI_VALID_RN_IDX_9 
SVT_CHI_VALID_SN_IDX_0 
SVT_CHI_VALID_SN_IDX_1 
SVT_CHI_VALID_SN_IDX_10 
SVT_CHI_VALID_SN_IDX_11 
SVT_CHI_VALID_SN_IDX_12 
SVT_CHI_VALID_SN_IDX_13 
SVT_CHI_VALID_SN_IDX_14 
SVT_CHI_VALID_SN_IDX_15 
SVT_CHI_VALID_SN_IDX_2 
SVT_CHI_VALID_SN_IDX_3 
SVT_CHI_VALID_SN_IDX_4 
SVT_CHI_VALID_SN_IDX_5 
SVT_CHI_VALID_SN_IDX_6 
SVT_CHI_VALID_SN_IDX_7 
SVT_CHI_VALID_SN_IDX_8 
SVT_CHI_VALID_SN_IDX_9 
SVT_CHI_VERSION_5_0 
SVT_CHI_VMID_EXT_WIDTH 
SVT_CHI_VMID_WIDTH 
SVT_CHI_WAIT_FOR_XACT_ENDED 
SVT_CHI_WR_TRANSACTION_INTERLEAVE_RANDOM_BLOCK 
SVT_CHI_WRITE_FOLLOWED_BY_READ_PATTERN_SEQ 
SVT_CHI_WRITE_FOLLOWED_BY_WRITE_PATTERN_SEQ 
SVT_CHI_WRITE_TYPE_SEMANTIC 
SVT_CHI_XACT_CBUSY_WIDTH 
SVT_CHI_XACT_CCID_WIDTH 
SVT_CHI_XACT_DAT_FLIT_MAX_BE_WIDTH 
SVT_CHI_XACT_DAT_FLIT_MAX_DATA_WIDTH 
SVT_CHI_XACT_DAT_FLIT_MAX_DATACHECK_WIDTH 
SVT_CHI_XACT_DAT_FLIT_MAX_POISON_WIDTH 
SVT_CHI_XACT_DAT_FLIT_MAX_RSVDC_WIDTH 
SVT_CHI_XACT_DAT_RSVDC_WIDTH 
SVT_CHI_XACT_DAT_VC_FLIT_OP_WIDTH 
SVT_CHI_XACT_DATA_ID_WIDTH 
SVT_CHI_XACT_FORWARD_TXN_ID_WIDTH 
SVT_CHI_XACT_MAX_BE_WIDTH 
SVT_CHI_XACT_MAX_DATA_WIDTH 
SVT_CHI_XACT_MAX_DATACHECK_WIDTH 
SVT_CHI_XACT_MAX_MPAM_PARTID_WIDTH 
SVT_CHI_XACT_MAX_MPAM_PERFMONGROUP_WIDTH 
SVT_CHI_XACT_MAX_POISON_WIDTH 
SVT_CHI_XACT_MAX_TAG_UPDATE_WIDTH 
SVT_CHI_XACT_MAX_TAG_WIDTH 
SVT_CHI_XACT_MPAM_NS_WIDTH 
SVT_CHI_XACT_MPAM_WIDTH 
SVT_CHI_XACT_QOS_WIDTH 
SVT_CHI_XACT_REQ_FLIT_MAX_RSVDC_WIDTH 
SVT_CHI_XACT_REQ_RSVDC_WIDTH 
SVT_CHI_XACT_REQ_VC_FLIT_OP_WIDTH 
SVT_CHI_XACT_RETURN_TXN_ID_WIDTH 
SVT_CHI_XACT_RSP_VC_FLIT_OP_WIDTH 
SVT_CHI_XACT_SNP_VC_FLIT_OP_WIDTH 
SVT_CHI_XACT_STATUS_ENDED 
SVT_CHI_XACT_TXN_ID_WIDTH 
SVT_CHI_XACT_TYPE_ATOMICCOMPARE 
SVT_CHI_XACT_TYPE_ATOMICLOAD_ADD 
SVT_CHI_XACT_TYPE_ATOMICLOAD_CLR 
SVT_CHI_XACT_TYPE_ATOMICLOAD_EOR 
SVT_CHI_XACT_TYPE_ATOMICLOAD_SET 
SVT_CHI_XACT_TYPE_ATOMICLOAD_SMAX 
SVT_CHI_XACT_TYPE_ATOMICLOAD_SMIN 
SVT_CHI_XACT_TYPE_ATOMICLOAD_UMAX 
SVT_CHI_XACT_TYPE_ATOMICLOAD_UMIN 
SVT_CHI_XACT_TYPE_ATOMICSTORE_ADD 
SVT_CHI_XACT_TYPE_ATOMICSTORE_CLR 
SVT_CHI_XACT_TYPE_ATOMICSTORE_EOR 
SVT_CHI_XACT_TYPE_ATOMICSTORE_SET 
SVT_CHI_XACT_TYPE_ATOMICSTORE_SMAX 
SVT_CHI_XACT_TYPE_ATOMICSTORE_SMIN 
SVT_CHI_XACT_TYPE_ATOMICSTORE_UMAX 
SVT_CHI_XACT_TYPE_ATOMICSTORE_UMIN 
SVT_CHI_XACT_TYPE_ATOMICSWAP 
SVT_CHI_XACT_TYPE_CLEANINVALID 
SVT_CHI_XACT_TYPE_CLEANSHARED 
SVT_CHI_XACT_TYPE_CLEANSHAREDPERSIST 
SVT_CHI_XACT_TYPE_CLEANSHAREDPERSISTSEP 
SVT_CHI_XACT_TYPE_CLEANUNIQUE 
SVT_CHI_XACT_TYPE_DVMOP 
SVT_CHI_XACT_TYPE_ECBARRIER 
SVT_CHI_XACT_TYPE_EOBARRIER 
SVT_CHI_XACT_TYPE_EVICT 
SVT_CHI_XACT_TYPE_MAKEINVALID 
SVT_CHI_XACT_TYPE_MAKEUNIQUE 
SVT_CHI_XACT_TYPE_PCRDRETURN 
SVT_CHI_XACT_TYPE_PREFETCHTGT 
SVT_CHI_XACT_TYPE_READCLEAN 
SVT_CHI_XACT_TYPE_READNOSNP 
SVT_CHI_XACT_TYPE_READNOSNPSEP 
SVT_CHI_XACT_TYPE_READNOTSHAREDDIRTY 
SVT_CHI_XACT_TYPE_READONCE 
SVT_CHI_XACT_TYPE_READONCECLEANINVALID 
SVT_CHI_XACT_TYPE_READONCEMAKEINVALID 
SVT_CHI_XACT_TYPE_READSHARED 
SVT_CHI_XACT_TYPE_READSPEC 
SVT_CHI_XACT_TYPE_READUNIQUE 
SVT_CHI_XACT_TYPE_REQLINKFLIT 
SVT_CHI_XACT_TYPE_STASHONCESHARED 
SVT_CHI_XACT_TYPE_STASHONCEUNIQUE 
SVT_CHI_XACT_TYPE_WRITEBACKFULL 
SVT_CHI_XACT_TYPE_WRITEBACKPTL 
SVT_CHI_XACT_TYPE_WRITECLEANFULL 
SVT_CHI_XACT_TYPE_WRITECLEANPTL 
SVT_CHI_XACT_TYPE_WRITEEVICTFULL 
SVT_CHI_XACT_TYPE_WRITENOSNPFULL 
SVT_CHI_XACT_TYPE_WRITENOSNPPTL 
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULL 
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULLSTASH 
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTL 
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTLSTASH 
svt_chi_xxm_debug 
svt_chi_xxm_error 
svt_chi_xxm_fatal 
svt_chi_xxm_note 
svt_chi_xxm_verbose 
svt_chi_xxm_warning 
SVT_DATA_PULL_WIDTH 
SVT_DTI_MAX_NUM_SYSTEMS 
SVT_EXCLUDE_VCAP 
SVT_REORDERING_WINDOW_MOVING 
SVT_REORDERING_WINDOW_STATIC 
SVT_SV_BASED_SVT_MEM 

W
WAIT_FOR_ATLEAST_ONE_OUTSTANDING_XACT_TO_END 

X
xvm_object_utils 

A  C  I  M  R  S  W  X  _


Macro Definition Documentation

 `define _SVT_AMBA_INT_CHI_SYSMON_OR_MUL_SYSMON

system_monitor_enable

 `define _SVT_AMBA_INT_SVDOC_CHI_SYSMON_OR_MUL_SYSMON

system_monitor_enable

 `define _SVT_CHI_SYSTEM_SEQUENCE_GET_OVERRIDE ( typ, str )


`ifdef SVT_UVM_TECHNOLOGY
  status = uvm_config_db#(typ)::get(null, get_full_name(), "``str", ``str );
`elsif SVT_OVM_TECHNOLOGY
  status = m_sequencer.get_config_int({get_type_name(), ".``str"}, ``str);
`endif

 `define _SVT_CHI_SYSTEM_SEQUENCE_GET_OVERRIDE_W_DISPLAY ( typ, str )


_SVT_CHI_SYSTEM_SEQUENCE_GET_OVERRIDE(typ,str)
    if(status)
      svt_debug("pre_body",$sformatf("set by config override ``str = %0d",``str));

 `define ALL_MISMATCH

0

 `define CHI_E_WAIT_FOR_DBID_RESPONSE_TO_RN_XACT ( xact, sys_xact )


if(xact != null)begin
    if(xact.cfg.chi_spec_revision >= svt_chi_node_configuration::ISSUE_E ) begin
      if((xact.xact_type ==svt_chi_transaction::WRITEUNIQUEFULL) ||
         (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL) ||
         (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL) ||
         (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL) ||
         (xact.xact_type == svt_chi_transaction::WRITEUNIQUEZERO) ||
         (xact.xact_type == svt_chi_transaction::WRITENOSNPZERO) ||
         `ifdef SVT_CHI_ISSUE_F_ENABLE
           (xact.xact_type == svt_chi_transaction::WRITENOSNPDEF) ||
         `endif
         (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH) ||
         (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH) ||
         (xact.is_combined_ncbwrite_cmo_xact()) ||
         (xact.is_atomicop_xact())
        )begin
        svt_chi_system_transaction outstanding_xacts_to_same_cache_line_from_other_src_id[$];
        svt_chi_snoop_transaction active_snoop_xacts_to_other_rn_with_complementary_ns_bit[$];
        fork
          begin
            fork
              begin
                wait(xact.is_dbid_received == 1);
              end
              begin
                wait(xact.is_dbidrespord_received == 1);
              end
              begin
                wait(xact.req_status == svt_chi_transaction::CANCELLED || xact.req_status == svt_chi_transaction::ABORTED || xact.req_status == svt_chi_transaction::RETRY );
              end
            join_any
            if(xact.is_dbidrespord_received ==1) begin
              outstanding_xacts_to_same_cache_line_from_other_src_id = active_sys_xact_queue.find() with(item.rn_xact != xact &&
                                                                                                          item.rn_xact.src_id != xact.src_id &&
                                                                                                          item.rn_xact.get_aligned_addr_to_cache_line_size(1) == xact.get_aligned_addr_to_cache_line_size(1) &&
                                                                                                          item.rn_xact.req_status != svt_chi_transaction::INITIAL && item.rn_xact.req_status != svt_chi_transaction::RETRY && item.rn_xact.req_status != svt_chi_transaction::CANCELLED && item.rn_xact.req_status != svt_chi_transaction::ABORTED
                                                                                                          );
              sys_xact.num_active_xacts_to_same_cacheline_from_other_src_when_curr_xact_received_dbidrespord = outstanding_xacts_to_same_cache_line_from_other_src_id.size();
              active_snoop_xacts_to_other_rn_with_complementary_ns_bit = active_snoop_xact_queue.find() with(item.get_aligned_addr_to_cache_line_size(0) == xact.get_aligned_addr_to_cache_line_size(0) &&
                                                                                                             item.tgt_id != xact.src_id &&
                                                                                                             item.is_non_secure_access != xact.is_non_secure_access &&
                                                                                                             `ifdef SVT_CHI_ISSUE_F_ENABLE
                                                                                                             item.non_secure_ext != xact.non_secure_ext &&
                                                                                                             `endif
                                                                                                             item.req_status != svt_chi_transaction::ABORTED &&
                                                                                                             item.req_status != svt_chi_transaction::CANCELLED
                                                                                                             );
              sys_xact.snoop_txn_exists_to_other_rns_when_dbidrespord_resp_is_received_for_txn_to_one_rn = (active_snoop_xacts_to_other_rn_with_complementary_ns_bit.size()) ? 1 : 0;
            end
            disable fork;
          end
        join_none
      end
    end
  end

 `define CHI_ENABLE_PROTOCOL_CHECK_COV

0

Defines for enabling protocol checks coverage at protocol layer, link layer and system level checks.

 `define MEMATTR_SNPATTR_MATCH

1

 `define SVT_AMBA_DATA_OBJ_CREATE ( class_type, component_type, component_name_str )


`ifdef SVT_VMM_TECHNOLOGY
  class_type::create_instance(component_type,component_name_str,`__FILE__,`__LINE__)
`else
  class_type::type_id::create(component_name_str,component_type)
`endif

 `define SVT_AMBA_DATA_UTIL_GET_PROP_VAL_PACKED_ARRAY ( arrname, arrsize, destvar )


`SVT_DATA_UTIL_SIZE_FIELDNAME(arrname) : begin
    prop_val = arrsize;
  end
  SVT_DATA_UTIL_ARG_TO_STRING(arrname) : begin
    if ((array_ix >= 0) && (array_ix < arrsize)) destvar = arrname[array_ix];
    else get_prop_val = 0;
  end

Custom get_prop_val macro for packed arrays with actual size represented by different variable

 `define SVT_AMBA_DATA_UTIL_SET_PACKED_ARRAY ( arrname, arrsize, srcvar )


`SVT_DATA_UTIL_SIZE_FIELDNAME(arrname) : begin
  end
  SVT_DATA_UTIL_ARG_TO_STRING(arrname) : begin
    if ((array_ix >= 0) && (array_ix < arrsize)) arrname[array_ix] = srcvar;
    else set_prop_val = 0;
  end

Custom set_prop_val macro for packed arrays with actual size represented by different variable

 `define svt_amba_debug ( id, msg )


`ifdef SVT_AMBA_DATA_UTIL_ENABLE_INTERNAL_MESSAGING
    svt_debug(id, msg)
  `else
    do begin end while(0)
  `endif

 `define SVT_AMBA_ERR_CHECK_STATS

svt_err_check_stats

 `define SVT_AMBA_ERR_CHECK_STATS_NOT_USED

 `define SVT_AMBA_MEM_MODE_WIDTH

2

 `define SVT_AMBA_NUM_PATH_COV_DEST_NAMES

6

 `define SVT_AMBA_PATH_COV_DEST_NAMES

slave_0,slave_1,slave_2,slave_3,slave_4,slave_5

 `define SVT_AMBA_TOP_CHI_SYSTEM_MONITOR

svt_chi_system_monitor

 `define svt_amba_verbose ( id, msg )


`ifdef SVT_AMBA_DATA_UTIL_ENABLE_INTERNAL_MESSAGING
    svt_verbose(id, msg)
  `else
    do begin end while(0)
  `endif

 `define SVT_AMBA_VERSION

 `define SVT_AXI_CACHE_SHORTHAND_CUST_COMPARE


`ifdef SVT_UVM_TECHNOLOGY
`elsif SVT_OVM_TECHNOLOGY
`else
  if (do_what == DO_COMPARE) begin
    if (!svt_axi_cache_compare_hook(this.__vmm_rhs, this.__vmm_image)) begin
      this.__vmm_status = 0;
    end
  end
`endif

Add some customized logic to compare the actual memory elements

 `define SVT_AXI_CACHE_SHORTHAND_CUST_COPY


`ifdef SVT_UVM_TECHNOLOGY
`elsif SVT_OVM_TECHNOLOGY
`else
  if (do_what == DO_COPY) begin
    svt_axi_cache_copy_hook(this.__vmm_rhs);
  end
`endif

Add some customized logic to copy the actual memory elements

 `define SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCECLEANINVALID

28

 `define SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCEMAKEINVALID

29

 `define SVT_AXI_EXCLUDE_ICN_VIP_INTERNAL_ENABLE

0

 `define SVT_AXI_MAX_BURST_LENGTH_WIDTH_`SVT_AXI_MAX_BURST_LENGTH_WIDTH

 

 `define SVT_AXI_MAX_CHUNK_NUM_WIDTH_`SVT_AXI_MAX_CHUNK_NUM_WIDTH

 

 `define SVT_AXI_MAX_CHUNK_STROBE_WIDTH_`SVT_AXI_MAX_CHUNK_STROBE_WIDTH

 

 `define SVT_AXI_MAX_DATA_WIDTH_`SVT_AXI_MAX_DATA_WIDTH

 

 `define SVT_AXI_MAX_NUM_SYSTEMS

32

 `define SVT_AXI_PORT_CFG_DEFAULT_FUSA_BUS_PROTECTION_ENABLE

0

 `define SVT_AXI_PORT_CFG_DEFAULT_FUSA_INTERCONNECT_PROTECTION_ENABLE

0

 `define SVT_AXI_RESET_TYPE

EXCLUDE_UNSTARTED_XACT

 `define SVT_AXI_VALID_MASTER_IDX_0

  

 `define SVT_AXI_VALID_MASTER_IDX_1

  

 `define SVT_AXI_VALID_MASTER_IDX_10

  

 `define SVT_AXI_VALID_MASTER_IDX_11

  

 `define SVT_AXI_VALID_MASTER_IDX_12

  

 `define SVT_AXI_VALID_MASTER_IDX_13

  

 `define SVT_AXI_VALID_MASTER_IDX_14

  

 `define SVT_AXI_VALID_MASTER_IDX_15

 

 `define SVT_AXI_VALID_MASTER_IDX_2

  

 `define SVT_AXI_VALID_MASTER_IDX_3

  

 `define SVT_AXI_VALID_MASTER_IDX_4

  

 `define SVT_AXI_VALID_MASTER_IDX_5

  

 `define SVT_AXI_VALID_MASTER_IDX_6

  

 `define SVT_AXI_VALID_MASTER_IDX_7

  

 `define SVT_AXI_VALID_MASTER_IDX_8

  

 `define SVT_AXI_VALID_MASTER_IDX_9

  

 `define SVT_AXI_VALID_SLAVE_IDX_0

  

 `define SVT_AXI_VALID_SLAVE_IDX_1

  

 `define SVT_AXI_VALID_SLAVE_IDX_10

  

 `define SVT_AXI_VALID_SLAVE_IDX_11

  

 `define SVT_AXI_VALID_SLAVE_IDX_12

  

 `define SVT_AXI_VALID_SLAVE_IDX_13

  

 `define SVT_AXI_VALID_SLAVE_IDX_14

  

 `define SVT_AXI_VALID_SLAVE_IDX_15

 

 `define SVT_AXI_VALID_SLAVE_IDX_2

  

 `define SVT_AXI_VALID_SLAVE_IDX_3

  

 `define SVT_AXI_VALID_SLAVE_IDX_4

  

 `define SVT_AXI_VALID_SLAVE_IDX_5

  

 `define SVT_AXI_VALID_SLAVE_IDX_6

  

 `define SVT_AXI_VALID_SLAVE_IDX_7

  

 `define SVT_AXI_VALID_SLAVE_IDX_8

  

 `define SVT_AXI_VALID_SLAVE_IDX_9

  

 `define SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MAX_VALUE

43

Top address bit max valid value for 3 SN-F striping

 `define SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MIN_VALUE

28

Top address bit min valid value for 3 SN-F striping

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MAX_VALUE

33

Top address bit max valid value for 6 SN-F striping

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MIN_VALUE

28

Top address bit min valid value for 6 SN-F striping

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MAX_VALUE

37

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MIN_VALUE

31

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MAX_VALUE

39

 `define SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MIN_VALUE

33

 `define SVT_CHI_ACELITE_COH_EXCLUSIVE_RD ( xact )


(xact.cfg.coherent_exclusive_access_from_rni_rnd_ports_enable ==1 && xact.xact_type == svt_chi_common_transaction::READONCE)

 `define SVT_CHI_ACELITE_COH_EXCLUSIVE_WR ( xact )


(xact.cfg.coherent_exclusive_access_from_rni_rnd_ports_enable ==1 && (xact.xact_type == svt_chi_common_transaction::WRITEUNIQUEFULL || xact.xact_type == svt_chi_common_transaction::WRITEUNIQUEPTL))

 `define SVT_CHI_ADDR_IDX_WIDTH

6

Width of address index field: 44 bit address width => 6 bits are needed to represent a given index

 `define SVT_CHI_AIP_COMPLIANCE

1

 `define SVT_CHI_ALLOWED_SLAVE_CMO_XACT ( xact, axi_slave_xact )


((xact.xact_type == svt_chi_transaction::CLEANSHARED) &&
       ((axi_slave_xact.xact_type == svt_axi_transaction::COHERENT) &&
        (axi_slave_xact.coherent_xact_type == svt_axi_transaction::CLEANSHARED)))
    ||
    ((xact.xact_type == svt_chi_transaction::CLEANINVALID) &&
       ((axi_slave_xact.xact_type == svt_axi_transaction::COHERENT) &&
        (axi_slave_xact.coherent_xact_type == svt_axi_transaction::CLEANINVALID)))
    `ifdef SVT_CHI_ISSUE_B_ENABLE
    ||
    ((xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST) &&
       ((axi_slave_xact.xact_type == svt_axi_transaction::COHERENT) &&
        (axi_slave_xact.coherent_xact_type == svt_axi_transaction::CLEANSHAREDPERSIST)))
    `endif
    ||
    ((xact.xact_type == svt_chi_transaction::MAKEINVALID) &&
       ((axi_slave_xact.xact_type == svt_axi_transaction::COHERENT) &&
        (axi_slave_xact.coherent_xact_type == svt_axi_transaction::MAKEINVALID)))

 `define SVT_CHI_ALLOWED_SNOOP_XACT ( xact, snoop, sys_cfg )


((xact.is_valid_for_invisible_cache_mode() == 1) &&
         (
          (
           (xact.xact_type == svt_chi_transaction::READNOSNP) &&
            ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE)
            `ifdef SVT_CHI_ISSUE_B_ENABLE
             ||
             (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCEFWD)
            `endif
            )
           )
          ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL) &&
           (
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
            `ifdef SVT_CHI_ISSUE_E_ENABLE
            ||
            (snoop.cfg.mem_tagging_enable && xact.req_tag_op != svt_chi_transaction::TAG_UPDATE && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
            `endif
           )
          )
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPZERO) &&
           (
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
            ||
            (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
           )
          )
          ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID || xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHAREDPERSISTSEP) &&
           (
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
            ||
            (snoop.cfg.mem_tagging_enable && xact.req_tag_op != svt_chi_transaction::TAG_UPDATE && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
           )
          ) ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID || xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHAREDPERSISTSEP) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
            ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
           )
          )
          `endif
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALIDPOPA) &&
           (
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
            ||
            (snoop.cfg.mem_tagging_enable && xact.req_tag_op != svt_chi_transaction::TAG_UPDATE && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
           )
          ) ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALIDPOPA) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
            ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
           )
          )
          `endif
          ||
          (
           (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
            ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
           )
          )
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::READONCE) &&
          ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE)
          `ifdef SVT_CHI_ISSUE_B_ENABLE
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCEFWD)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::READCLEAN) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEAN)
          `ifdef SVT_CHI_ISSUE_B_ENABLE
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANFWD)
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTYFWD)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::READSHARED) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHARED)
          `ifdef SVT_CHI_ISSUE_B_ENABLE
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTYFWD)
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHAREDFWD)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::READUNIQUE) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
          `ifdef SVT_CHI_ISSUE_B_ENABLE
          ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUEFWD)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::CLEANUNIQUE) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)) ||
        `ifdef SVT_CHI_ISSUE_E_ENABLE
        ((xact.xact_type == svt_chi_transaction::MAKEREADUNIQUE) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUEFWD)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPPREFERUNIQUEFWD && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPPREFERUNIQUE && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTYFWD && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTY && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANFWD && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEAN && xact.is_exclusive == 1)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHARED && xact.is_exclusive == 1)
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::READPREFERUNIQUE) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPPREFERUNIQUE)
           || (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPPREFERUNIQUEFWD)
         )
        ) ||
        `endif
        ((xact.xact_type == svt_chi_transaction::MAKEUNIQUE) &&
         (
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
          `ifdef SVT_CHI_ISSUE_E_ENABLE
           ||
           (snoop.cfg.mem_tagging_enable && xact.req_tag_op != svt_chi_transaction::TAG_UPDATE && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::CLEANSHARED) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANSHARED)) ||
        ((xact.xact_type == svt_chi_transaction::CLEANINVALID) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)) ||
        `ifdef SVT_CHI_ISSUE_F_ENABLE
        ((xact.xact_type == svt_chi_transaction::CLEANINVALIDPOPA) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)) ||
        `endif
        ((xact.xact_type == svt_chi_transaction::MAKEINVALID) &&
         (
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
          `ifdef SVT_CHI_ISSUE_E_ENABLE
           ||
           (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
          `endif
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL) &&
         (
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
          `ifdef SVT_CHI_ISSUE_E_ENABLE
           ||
           (snoop.cfg.mem_tagging_enable && xact.req_tag_op != svt_chi_transaction::TAG_UPDATE && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
          `endif
         )
        ) ||
        `ifdef SVT_CHI_ISSUE_E_ENABLE
        ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEZERO) &&
         (
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
           ||
           (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP) &&
         (
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
           ||
           (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
         )
        ) ||
        ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID) ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE))) ||
        ((xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANSHAREDPERSISTSEP) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANSHARED)) ||
        ((xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANINVALID) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)) ||
        `ifdef SVT_CHI_ISSUE_F_ENABLE
        ((xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANINVALIDPOPA) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)) ||
        `endif
        ((xact.xact_type == svt_chi_transaction::WRITECLEANFULL_CLEANSHARED || xact.xact_type == svt_chi_transaction::WRITECLEANFULL_CLEANSHAREDPERSISTSEP) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANSHARED)) ||
        ((xact.xact_type == svt_chi_transaction::STASHONCESEPUNIQUE) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSTASHUNIQUE) ||
          (sys_cfg.expect_snpuniquestash_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUESTASH) ||
          (sys_cfg.expect_snpunique_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE) ||
          (sys_cfg.expect_snponce_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE)
         )) ||
        ((xact.xact_type == svt_chi_transaction::STASHONCESEPSHARED) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSTASHSHARED) ||
          (sys_cfg.expect_snpshared_for_stashonceshared_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHARED) ||
          (sys_cfg.expect_snpnotshareddirty_for_stashonceshared_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTY)
         )) ||
        `endif
        ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL) &&
         ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID) ||
          (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE))) ||
        `ifdef SVT_CHI_ISSUE_B_ENABLE
          ((xact.xact_type == svt_chi_transaction::READSPEC) &&
           (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEAN)) ||
          ((xact.xact_type == svt_chi_transaction::READONCECLEANINVALID) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCEFWD) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE))) ||
          ((xact.xact_type == svt_chi_transaction::READONCEMAKEINVALID) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCEFWD) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID) ||
            (snoop.cfg.chi_spec_revision >= svt_chi_node_configuration::ISSUE_F && (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUEFWD)) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE))) ||
          ((xact.xact_type == svt_chi_transaction::READNOTSHAREDDIRTY) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTY) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEAN) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTYFWD) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHARED))) ||
          ((xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST) &&
           (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANSHARED)) ||
           `ifdef SVT_CHI_ISSUE_D_ENABLE
           ((xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSISTSEP) &&
           (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANSHARED)) ||
           `endif
          ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALIDSTASH) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPMAKEINVALID)
            `ifdef SVT_CHI_ISSUE_E_ENABLE
             ||
             (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID)
             ||
             (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUESTASH)
             ||
             (snoop.cfg.mem_tagging_enable && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE)
            `endif
           )) ||
          ((xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUESTASH) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE))) ||
          ((xact.xact_type == svt_chi_transaction::STASHONCEUNIQUE) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSTASHUNIQUE) ||
            (sys_cfg.expect_snpuniquestash_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUESTASH) ||
            (sys_cfg.expect_snpunique_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE) ||
            (sys_cfg.expect_snponce_for_stashonceunique_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPONCE)
           )) ||
          ((xact.xact_type == svt_chi_transaction::STASHONCESHARED) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSTASHSHARED) ||
            (sys_cfg.expect_snpshared_for_stashonceshared_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPSHARED) ||
            (sys_cfg.expect_snpnotshareddirty_for_stashonceshared_xact && snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPNOTSHAREDDIRTY)
           )) ||
          (((xact.xact_type == svt_chi_transaction::ATOMICSTORE_ADD) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_CLR) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_EOR) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SET) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SMAX) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SMIN) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_UMAX) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSTORE_UMIN) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_ADD) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_CLR) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_EOR) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SET) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SMAX) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SMIN) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_UMAX) ||
            (xact.xact_type == svt_chi_transaction::ATOMICLOAD_UMIN) ||
            (xact.xact_type == svt_chi_transaction::ATOMICSWAP) ||
            (xact.xact_type == svt_chi_transaction::ATOMICCOMPARE)) &&
           ((snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPUNIQUE) ||
            (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPCLEANINVALID))) ||
        `endif
        ((xact.xact_type == svt_chi_transaction::DVMOP) &&
         (snoop.snp_req_msg_type == svt_chi_snoop_transaction::SNPDVMOP))

 `define SVT_CHI_ALLOWRETRY_WIDTH

1

 `define SVT_CHI_ASID_WIDTH

16

 `define SVT_CHI_ATOMIC_GEN_SOURCE

1

 `define SVT_CHI_ATOMIC_TYPE_SEMANTIC

3

 `define SVT_CHI_BACK2BACK_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ

5_1_4_2

 `define SVT_CHI_BACK2BACK_4_TIMES_ORDER_TYPE_PATTERN_SEQ

5_1_4

 `define SVT_CHI_BACK2BACK_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ

5_1_4_1

 `define SVT_CHI_BACK2BACK_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ

5_1_8_2

 `define SVT_CHI_BACK2BACK_8_TIMES_ORDER_TYPE_PATTERN_SEQ

5_1_8

 `define SVT_CHI_BACK2BACK_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ

5_1_8_1

 `define SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_PATTERN_SEQ

2_5_2_1

 `define SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_W_ALLOW_RETRY_PATTERN_SEQ

2_5_2_1_1

 `define SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_WO_ALLOW_RETRY_PATTERN_SEQ

2_5_2_1_2

 `define SVT_CHI_BACK2BACK_COPYBACK_TRANSACTION_PATTERN_SEQ

2_5_2

 `define SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_PATTERN_SEQ

2_5_0_3

 `define SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ

2_5_0_4

 `define SVT_CHI_BACK2BACK_N_TIMES_ORDER_TYPE_PATTERN_SEQ ( n )

 `define SVT_CHI_BACK2BACK_ORDER_TYPE_PATTERN_SEQ

5_1_0

 `define SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_PATTERN_SEQ

2_5_0_0

 `define SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_W_EXPCOMPACK_PATTERN_SEQ

2_5_0_5

 `define SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_PATTERN_SEQ

2_5

 `define SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_W_EXPCOMPACK_PATTERN_SEQ

2_5_1

 `define SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_EP_ORDERED_WRITENOSNP_PATTERN_SEQ

2_5_0_2

 `define SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ

2_5_0_1

 `define SVT_CHI_BASE_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_base_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_base_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_base_transaction_exception_list instance.

 `define SVT_CHI_CACHE_LINE_SIZE

64

Generic defines

 `define SVT_CHI_CACHE_LINE_SIZE

64

 `define SVT_CHI_CACHE_LINE_SIZE_IN_BYTES

8

 `define SVT_CHI_CACHE_SNOOP_RESPONSE_GEN_SOURCE

0

 `define SVT_CHI_CACHE_STATE_I

0

Defines for Cache state types, also used by cache_state_enum

 `define SVT_CHI_CACHE_STATE_SC

1

 `define SVT_CHI_CACHE_STATE_SD

2

 `define SVT_CHI_CACHE_STATE_UC

3

 `define SVT_CHI_CACHE_STATE_UCE

5

 `define SVT_CHI_CACHE_STATE_UD

4

 `define SVT_CHI_CACHE_STATE_UDP

6

 `define SVT_CHI_CANCELLED_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

9_1

 `define SVT_CHI_CANCELLED_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

9_0

 `define SVT_CHI_CBUSY_WIDTH

3

Width of CBUSY field-- applicable for CHI Issue D

 `define SVT_CHI_CCID_DATA_127_DOWN_TO_0


Defines for CCID values, also used by ccid_enum

 `define SVT_CHI_CCID_DATA_255_DOWN_TO_128

 `define SVT_CHI_CCID_DATA_383_DOWN_TO_256

 `define SVT_CHI_CCID_DATA_511_DOWN_TO_384

 `define SVT_CHI_CCID_WIDTH

2

Width of CCID field

 `define SVT_CHI_CLEAN_CURRENT_STATE ( xact )


xact.current_state == svt_chi_snoop_transaction::UC ||
    xact.current_state == svt_chi_snoop_transaction::SC ||
    xact.current_state == svt_chi_snoop_transaction::UCE

 `define SVT_CHI_CLEAN_FINAL_STATE ( xact )


(
     xact.final_state == svt_chi_snoop_transaction::UC &&
     xact.snp_req_msg_type != svt_chi_snoop_transaction::SNPONCE
    ) ||
    xact.final_state == svt_chi_snoop_transaction::SC ||
    xact.final_state == svt_chi_snoop_transaction::UCE

 `define SVT_CHI_COHERENCY_CONNECT_STATE

1

 `define SVT_CHI_COHERENCY_DISABLED_STATE

0

Used to indicate the status of the sysco interface state machine.

 `define SVT_CHI_COHERENCY_DISCONNECT_STATE

3

 `define SVT_CHI_COHERENCY_ENABLED_STATE

2

 `define SVT_CHI_COHERENT_EXCL_ACCESS_FAIL

2

 `define SVT_CHI_COHERENT_EXCL_ACCESS_INITIAL

0

Defines CHI exclusive access status *

 `define SVT_CHI_COHERENT_EXCL_ACCESS_PASS

1

 `define SVT_CHI_COMMON_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_common_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_common_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_common_transaction_exception_list instance.

 `define SVT_CHI_COMPACK2COMPACK_DELAY_COV_MAX_VALUE

20

Macro to control the max value of clk delay for COMPACK to COMPACK assertion related link cg

 `define SVT_CHI_COMPACK2COMPACK_DELAY_COV_MID_BIN_VAL_RANGE

3

Macro to control the number of bins for COMPACK to COMPACK assertion related link cg

 `define SVT_CHI_COMPACK2COMPACK_DELAY_COV_MIN_VALUE

1

Macro to control the min value of clk delay for COMPACK to COMPACK assertion related link cg

 `define SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP

2_5_0_8

 `define SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP_W_SAME_ADDR

2_5_0_9

 `define SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE

2_5_0_6

 `define SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE_W_SAME_ADDR

2_5_0_7

 `define SVT_CHI_COV_MAX_NUM_BACK2BACK_CYCLES_PROTOCOL_FLITV_ASSERTION

5

To define bins in related coverage, Maximum number of back2back cycles protocol flitv is asserted in virtual channels

 `define SVT_CHI_COV_MPAM_BINS

3

To define bins in mpam related coverage, Number of bins to be defined for mpam value and mpam_partid from CHI-D or later. The mpam values are equally grouped into this define number of bins.

 `define SVT_CHI_COV_WEIGHT_VAL_0

0

 `define SVT_CHI_COV_WEIGHT_VAL_0

0

 `define SVT_CHI_COV_WEIGHT_VAL_1

1

 `define SVT_CHI_COV_WEIGHT_VAL_1

1

 `define SVT_CHI_CTRL_REG_NO_ERR

 `define SVT_CHI_D_COV_DBID_BINS

5

To define bins in dbid related coverage, Number of bins to be defined for DBID from CHI-D or later. The values of DBID are equally grouped into this define number of bins.

 `define SVT_CHI_D_COV_TXNID_BINS

5

To define bins in txn_id related coverage, Number of bins to be defined for TxnID from CHI-D or later. The values of TxnID are equally grouped into this define number of bins.

 `define SVT_CHI_D_OR_EARLIER_SPEC_BEHAVIOR

0

Defines for nderr_resp_policy_enum

 `define SVT_CHI_DAT_FLIT

3

 `define SVT_CHI_DAT_FLIT_DBID_MECID_WIDTH


Width of DAT FLIT MECID/DBID field

 `define SVT_CHI_DAT_FLIT_FORMAT_128BIT

0

DAT Flit format defines

 `define SVT_CHI_DAT_FLIT_FORMAT_256BIT

1

 `define SVT_CHI_DAT_FLIT_FORMAT_512BIT

2

 `define SVT_CHI_DAT_FLIT_FWDSTATE_DATAPULL_DATASOURCE_WIDTH

4

 `define SVT_CHI_DAT_FLIT_LSB_BE

 `define SVT_CHI_DAT_FLIT_LSB_CBUSY

 `define SVT_CHI_DAT_FLIT_LSB_CCID

 `define SVT_CHI_DAT_FLIT_LSB_DATA

 `define SVT_CHI_DAT_FLIT_LSB_DATACHECK

 `define SVT_CHI_DAT_FLIT_LSB_DATAID

 `define SVT_CHI_DAT_FLIT_LSB_DBID_MECID

 `define SVT_CHI_DAT_FLIT_LSB_FWDSTATE_DATAPULL_DATASOURCE

 `define SVT_CHI_DAT_FLIT_LSB_HOMENID_PBHA

 `define SVT_CHI_DAT_FLIT_LSB_OPCODE

 `define SVT_CHI_DAT_FLIT_LSB_POISON

 `define SVT_CHI_DAT_FLIT_LSB_QOS

0

 `define SVT_CHI_DAT_FLIT_LSB_RESP

 `define SVT_CHI_DAT_FLIT_LSB_RESPERR

 `define SVT_CHI_DAT_FLIT_LSB_RSVDC

 `define SVT_CHI_DAT_FLIT_LSB_SRCID

 `define SVT_CHI_DAT_FLIT_LSB_TGTID

 `define SVT_CHI_DAT_FLIT_LSB_TRACETAG

 `define SVT_CHI_DAT_FLIT_LSB_TXNID

 `define SVT_CHI_DAT_FLIT_MAX_BE_WIDTH


This defines the width of the BYTE ENABLE field width of the TXDATFLIT and RXDATFLIT signals. It is also used by svt_chi_flit :: byte_enable attribute.

 `define SVT_CHI_DAT_FLIT_MAX_DATA_WIDTH

128

This defines the width of the DATA field width of the TXDATFLIT and RXDATFLIT and also affects the BE field. It is also used by svt_chi_flit :: data attribute.

 `define SVT_CHI_DAT_FLIT_MAX_DATACHECK_WIDTH

 `define SVT_CHI_DAT_FLIT_MAX_POISON_WIDTH

 `define SVT_CHI_DAT_FLIT_MAX_RSVDC_WIDTH

4

This defines the width of RSVDC field width of the TXDATFLIT and RXDATFLIT

 `define SVT_CHI_DAT_FWDSTATE_DATAPULL_WIDTH

3

 `define SVT_CHI_DAT_RESERVED_FWDSTATE_DATAPULL_WIDTH

1

Width of Reserved bits in FWDSTATE/DATAPULL fields of DAT flit -- applicable for CHI Issue D or later

 `define SVT_CHI_DAT_RSVDC_WIDTH


Width of DAT RSVDC field

 `define SVT_CHI_DAT_USER_EXTN_MAX_WIDTH

0

 `define SVT_CHI_DAT_VC_FLIT_OP_COMPDATA

 `define SVT_CHI_DAT_VC_FLIT_OP_COPYBACKWRDATA

 `define SVT_CHI_DAT_VC_FLIT_OP_DATASEPRESP

 `define SVT_CHI_DAT_VC_FLIT_OP_DATLINKFLIT


Opcodes used for Data VC FLIT

 `define SVT_CHI_DAT_VC_FLIT_OP_NCBWRDATACOMPACK

 `define SVT_CHI_DAT_VC_FLIT_OP_NONCOPYBACKWRDATA

 `define SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATA

 `define SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAFWDED

 `define SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAPTL

 `define SVT_CHI_DAT_VC_FLIT_OP_WIDTH

4

 `define SVT_CHI_DAT_VC_FLIT_OP_WRITEDATACANCEL

 `define SVT_CHI_DATA_ID_WIDTH

2

Width of Data ID field

 `define SVT_CHI_DATA_PULL_WIDTH

3

 `define SVT_CHI_DATA_SIZE_16BYTE

 `define SVT_CHI_DATA_SIZE_1BYTE


Defines for different data sizes in bytes, also used by data_size_enum

 `define SVT_CHI_DATA_SIZE_2BYTE

 `define SVT_CHI_DATA_SIZE_32BYTE

 `define SVT_CHI_DATA_SIZE_4BYTE

 `define SVT_CHI_DATA_SIZE_64BYTE

 `define SVT_CHI_DATA_SIZE_8BYTE

 `define SVT_CHI_DATA_SOURCE_WIDTH

4

 `define SVT_CHI_DATACHECK_INTERNAL_WIDTH_ENABLE

0

Width of Datacheck field-- applicable for CHI Issue B

 `define SVT_CHI_DBID_WIDTH


Width of DBID field

 `define SVT_CHI_DEBUG_PORT_WIDTH

32

 `define SVT_CHI_DEF_CFG_NUM_CHANNELS

1

Default num channels configuration macro set to 1. Applicable for all channels.

 `define SVT_CHI_DEF_MAX_CHANNELS

1

Default value for max num channels macro.

 `define SVT_CHI_DEF_MAX_NUM_OUTSTANDING_DVM_SNOOP_XACT

-1

defines maximum number of outstanding non-dvm snoop transactions

 `define SVT_CHI_DEF_MAX_NUM_OUTSTANDING_NON_DVM_SNOOP_XACT

-1

defines maximum number of outstanding non-dvm snoop transactions

 `define SVT_CHI_DEF_MAX_NUM_OUTSTANDING_SNOOP_XACT

4

Default maximum number of outstanding transactions

 `define SVT_CHI_DEF_MAX_NUM_OUTSTANDING_XACT

4

Default maximum number of outstanding transactions

 `define SVT_CHI_DEFAULT_ADV_CURR_LCRD_HIGH_RANGE_START_VAL


Start value of high range for l-credit value

 `define SVT_CHI_DEFAULT_ADV_CURR_LCRD_MED_RANGE_START_VAL


Start value of medium range for l-credit value

 `define SVT_CHI_DOMAIN_TYPE_INNERSNOOPABLE

1

 `define SVT_CHI_DOMAIN_TYPE_NONSNOOPABLE

0

Defines used by system_domain_type_enum

 `define SVT_CHI_DOMAIN_TYPE_OUTERSNOOPABLE

2

 `define SVT_CHI_DOMAIN_TYPE_SNOOPABLE

3

 `define SVT_CHI_DONOTDATAPULL_WIDTH

1

 `define SVT_CHI_DONOTGOTOSD_WIDTH

1

 `define SVT_CHI_DVM_MSG_TYPE_BITVEC_SLICE

13:11

 `define SVT_CHI_DVM_MSG_TYPE_SYNC

 `define SVT_CHI_DVM_MSG_TYPE_WIDTH

3

DVM Message type related defines

 `define SVT_CHI_DVM_PART_NUM_BIT

3

 `define SVT_CHI_DVM_VA_VALID_BIT

4

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ

10_7

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ

10_8

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID

10_10

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_DVMOP_SYNC_PFOLLOWED_BY_DVMOP_TLBI_ATTERN_SEQ

10_5

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ

10_1

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID

10_9

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ

10_2

 `define SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ

10_3

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ

10_13

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ

10_15

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ

10_14

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ

10_16

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ

10_18

 `define SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ

10_17

 `define SVT_CHI_DVMOP_TYPE_BRANCH_PREDICTOR_INVALIDATE

3'b001

 `define SVT_CHI_DVMOP_TYPE_PHY_INS_CACHE_INVALIDATE

3'b010

 `define SVT_CHI_DVMOP_TYPE_SYNC

3'b100

 `define SVT_CHI_DVMOP_TYPE_TLB_INVALIDATE

3'b000

DVM Operation type related defines

 `define SVT_CHI_DVMOP_TYPE_VIRTUAL_INS_CACHE_INVALIDATE

3'b011

 `define SVT_CHI_E_SPEC_BEHAVIOR

1

 `define SVT_CHI_ENABLE_COHERENT_XACT

1

 `define SVT_CHI_ENABLE_COHERENT_XACT

1

 `define SVT_CHI_ENABLE_DCT

0

Define for controlling default value for enabling DCT

 `define SVT_CHI_ENABLE_DMT

0

Define for controlling default value for enabling DMT

 `define SVT_CHI_ENABLE_DWT

0

Define for controlling default value for enabling DWT

 `define SVT_CHI_ENABLE_MEMORY_TAGGING

0

Define for controlling default value for enabling Memory Tagging

 `define SVT_CHI_ENABLE_ORD_STASH_DATA_PULL

0

Define for controlling default value for enabling Ordered Stash Data Pull

 `define SVT_CHI_ENABLE_SEP_RD_DATA_SEP_RSP

0

Define for controlling default value for enabling Seperate Read Data and Home Response

 `define SVT_CHI_ENABLE_STASH

0

Define for controlling default value for enabling Stash

 `define SVT_CHI_ENABLE_STASH_DATA_PULL

0

Define for controlling default value for enabling Stash Data Pull

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ

3_3

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

3_3_2

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

3_3_1

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ

4_3

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

4_3_2

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

4_3_1

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ

3_4

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

3_4_2

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

3_4_1

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ

4_4

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

4_4_2

 `define SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

4_4_1

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ

1_3

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

1_3_2

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

1_3_1

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ

2_3

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

2_3_2

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

2_3_1

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ

1_4

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

1_4_2

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

1_4_1

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ

2_4

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

2_4_2

 `define SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

2_4_1

 `define SVT_CHI_ERROR_CTRL_REG_WIDTH

1

Define the width of enum bits *

 `define SVT_CHI_EXCL_MON_FAILURE_COND_DEFAULT_VALUE_XACT_DROPPED

0

Defines CHI exclusive transaction drop condition*

 `define SVT_CHI_EXCL_MON_INVALID

0

Defines CHI exclusive monitor status*

 `define SVT_CHI_EXCL_MON_INVALID_MAX_EXCL_ACCESS_XACT_DROPPED

6

 `define SVT_CHI_EXCL_MON_RESET

2

 `define SVT_CHI_EXCL_MON_RESET_ACCESS_FAIL_XACT_DROPPED

1

 `define SVT_CHI_EXCL_MON_RESET_SNOOP_INVALIDATION_XACT_DROPPED

4

 `define SVT_CHI_EXCL_MON_RESET_STORE_WITHOUT_LOAD_XACT_DROPPED

5

 `define SVT_CHI_EXCL_MON_SET

1

 `define SVT_CHI_EXCL_MON_SET_ACCESS_FAIL_XACT_DROPPED

2

 `define SVT_CHI_EXCL_MON_SET_ACCESS_PASS_XACT_DROPPED

3

 `define SVT_CHI_EXCL_MONITOR_DEFAULT

0

Defines CHI exclusive monitor status, used by subsequent excluisve store to determine on whether to bypass excluisve_store_response_check

 `define SVT_CHI_EXCL_MONITOR_NO_ENTRY

1

 `define SVT_CHI_EXCL_MONITOR_RESET

3

 `define SVT_CHI_EXCL_MONITOR_SET

2

 `define SVT_CHI_EXCL_SNPME_CAH_WIDTH

1

 `define SVT_CHI_EXCL_WIDTH

1

 `define SVT_CHI_EXCLUDE_UNSTARTED_XACT

0

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_FROM_DIFF_LPID_PATTERN_SEQ

11_1_2

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_PATTERN_SEQ

11_1_1

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_PATTERN_SEQ

11_0_1

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_MEMORY_ATTRIBUTE_PATTERN_SEQ

11_0_2

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_SNOOP_ATTRIBUTE_PATTERN_SEQ

11_0_4

 `define SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_SAME_MEMORY_ATTRIBUTE_PATTERN_SEQ

11_0_3

 `define SVT_CHI_EXPCOMPACK_WIDTH

1

 `define SVT_CHI_F_PRINT_XACT_FIELD ( xact, field )


`ifdef SVT_CHI_ISSUE_F_ENABLE
$sformatf("%0s = %0d",
          SVT_DATA_UTIL_ARG_TO_STRING(field),xact.field)
`else
" "
`endif

 `define SVT_CHI_F_PRINT_XACT_NSE ( xact )


`ifdef SVT_CHI_ISSUE_F_ENABLE
$sformatf(" NSE('b%0b)",
          xact.non_secure_ext)
`else
" "
`endif

 `define SVT_CHI_FLIT_CAUSAL_PRINT_PREFIX ( flit )


((flit == null) ? "CAUSAL XACT {SYS_ID(-1) OBJ_NUM(-1) NODE_ID(-1) TYPE(null) TXN_ID(0) ADDR(0) END_TIME(0)}":flit.causal_xact_psdisplay_concise())

 `define SVT_CHI_FLIT_DATA_WIDTH_128BIT

128

Different Flit data widths

 `define SVT_CHI_FLIT_DATA_WIDTH_256BIT

256

 `define SVT_CHI_FLIT_DATA_WIDTH_512BIT

512

 `define SVT_CHI_FLIT_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_flit_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_flit_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_flit_exception_list instance.

 `define SVT_CHI_FLIT_PRINT_PREFIX ( flit )


((flit == null) ? "FLIT {SYS_ID(-1) OBJ_NUM(-1) NODE_ID(-1) TYPE(null) TXN_ID(0) ADDR(0) END_TIME(0)}":flit.psdisplay_concise())

 `define SVT_CHI_FLIT_RSVDC_WIDTH_0BIT

0

Different rsvdc widths

 `define SVT_CHI_FLIT_RSVDC_WIDTH_12BIT

12

 `define SVT_CHI_FLIT_RSVDC_WIDTH_16BIT

16

 `define SVT_CHI_FLIT_RSVDC_WIDTH_24BIT

24

 `define SVT_CHI_FLIT_RSVDC_WIDTH_32BIT

32

 `define SVT_CHI_FLIT_RSVDC_WIDTH_4BIT

4

 `define SVT_CHI_FLIT_RSVDC_WIDTH_8BIT

8

 `define SVT_CHI_FORWARD_NID_PBHA_WIDTH


Width of Forward NID or {(NID_WIDTH-4)'b0,PBHA[3:0]} field. Used for packing the data flit.

 `define SVT_CHI_FORWARD_NID_WIDTH


Width of Forward NID field. Used for attributes declaration in data classes.

 `define SVT_CHI_FORWARD_TXN_ID_WIDTH


Width of Forward Transaction ID field

 `define SVT_CHI_FWD_STATE_WIDTH

3

 `define SVT_CHI_GET_XACT_END_TIME ( xact )


`ifdef SVT_VMM_TECHNOLOGY
xact.notify.timestamp(vmm_data::ENDED)
`else
xact.get_end_realtime()
`endif

 `define SVT_CHI_GET_XACT_START_TIME ( xact )


`ifdef SVT_VMM_TECHNOLOGY
xact.notify.timestamp(vmm_data::STARTED)
`else
xact.get_begin_realtime()
`endif

 `define SVT_CHI_HN

2

 `define SVT_CHI_HN_ALWAYS_FORWARD_AS_READ_AND_WRITE

0

Atomic xact propagation to slave policy

 `define SVT_CHI_HN_ALWAYS_FORWARD_ATOMICS

1

 `define SVT_CHI_HN_FORWARD_AS_ATOMICS_OR_AS_READ_AND_WRITE

2

 `define SVT_CHI_HN_NODE_IDX_WIDTH

6

 `define SVT_CHI_HN_STATUS_DEFAULT_ADDRESS_BASED_FLUSH_POLICY

CLEANINVALID_ABF

Define for controlling the default value of svt_chi_hn_status :: address_based_flush_policy

 `define SVT_CHI_HOME_NID_PBHA_WIDTH


Width of Home NID or {(NID_WIDTH-4)'b0,PBHA[3:0]} field. Used for packing the data flit.

 `define SVT_CHI_HOME_NID_WIDTH


Width of Home NID field. Used for attributes declaration in data classes.

 `define SVT_CHI_HYBRID_DATA_FORMAT

1

 `define SVT_CHI_IC_CFG_DEFAULT_CHI_SPEC_REVISION

ISSUE_A

 `define SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_DAT_CB_NAME

post_tx_dat_seq_item_get

 `define SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_RSP_CB_NAME

post_tx_rsp_seq_item_get

 `define SVT_CHI_IC_RN_TRANSACTION_SV

 `define SVT_CHI_IC_SN_TRANSACTION_SV

 `define SVT_CHI_IF_PARITY_DAT_FLIT_CHK_WIDTH

 `define SVT_CHI_IF_PARITY_REQ_FLIT_CHK_WIDTH

 `define SVT_CHI_IF_PARITY_RSP_FLIT_CHK_WIDTH

 `define SVT_CHI_IF_PARITY_SNP_FLIT_CHK_WIDTH

 `define SVT_CHI_INACTIVE_HIGH_VAL

1

 `define SVT_CHI_INACTIVE_LOW_VAL

0

Inactive value defines

 `define SVT_CHI_INACTIVE_PREV_VAL

2

 `define SVT_CHI_INACTIVE_RAND_VAL

5

 `define SVT_CHI_INACTIVE_X_VAL

3

 `define SVT_CHI_INACTIVE_Z_VAL

4

 `define SVT_CHI_INT_TYPE_WIDTH

32

Width of an integer type variable in bits

 `define SVT_CHI_INTERFACE_HN_F

5

 `define SVT_CHI_INTERFACE_HN_I

6

 `define SVT_CHI_INTERFACE_IC_SN_F

7

 `define SVT_CHI_INTERFACE_IC_SN_I

8

 `define SVT_CHI_INTERFACE_PARITY_ENABLE_INTERNAL

0

 `define SVT_CHI_INTERFACE_PARITY_GRANULARITY

8

 `define SVT_CHI_INTERFACE_RN_D

2

 `define SVT_CHI_INTERFACE_RN_F

0

Interface types

 `define SVT_CHI_INTERFACE_RN_I

1

 `define SVT_CHI_INTERFACE_SN_F

3

 `define SVT_CHI_INTERFACE_SN_I

4

 `define SVT_CHI_INTERNAL_SPEC_ISSUE_MACROS_DEFINED

 `define SVT_CHI_IS_XACT_BARRIER ( xact )


(
  (xact.xact_type == svt_chi_transaction::EOBARRIER) ||
  (xact.xact_type == svt_chi_transaction::ECBARRIER)
)

 `define SVT_CHI_IS_XACT_CMO ( xact )


(
  (xact.xact_type == svt_chi_transaction::CLEANSHARED) ||
  `ifdef SVT_CHI_ISSUE_B_ENABLE
  (xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST) ||
  `endif
  `ifdef SVT_CHI_ISSUE_D_ENABLE
  (xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSISTSEP) ||
  `endif
  `ifdef SVT_CHI_ISSUE_F_ENABLE
  (xact.xact_type == svt_chi_transaction::CLEANINVALIDPOPA) ||
  `endif
  (xact.xact_type == svt_chi_transaction::CLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::MAKEINVALID)
)

 `define SVT_CHI_IS_XACT_COPYBACK ( xact )


(
  (xact.xact_type == svt_chi_transaction::WRITEBACKFULL) ||
  (xact.xact_type == svt_chi_transaction::WRITEBACKPTL) ||
  (xact.xact_type == svt_chi_transaction::WRITECLEANFULL) ||
  (xact.xact_type == svt_chi_transaction::WRITECLEANPTL) ||
  (xact.xact_type == svt_chi_transaction::WRITEEVICTFULL) ||
  `ifdef SVT_CHI_ISSUE_E_ENABLE
  (xact.xact_type == svt_chi_transaction::WRITEEVICTOREVICT) ||
  (xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANSHAREDPERSISTSEP) ||
  (xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::WRITECLEANFULL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITECLEANFULL_CLEANSHAREDPERSISTSEP) ||
  `endif
  `ifdef SVT_CHI_ISSUE_F_ENABLE
  (xact.xact_type == svt_chi_transaction::WRITEBACKFULL_CLEANINVALIDPOPA) ||
  `endif
  (xact.xact_type == svt_chi_transaction::EVICT)
)

 `define SVT_CHI_IS_XACT_DVMOP ( xact )


(
  (xact.xact_type == svt_chi_transaction::DVMOP)
)

 `define SVT_CHI_IS_XACT_PCRDRETURN ( xact )


(
  (xact.xact_type == svt_chi_transaction::PCRDRETURN)
)

 `define SVT_CHI_IS_XACT_PREFETCHTGT ( xact )


(
  (xact.xact_type == svt_chi_transaction::PREFETCHTGT)
)

 `define SVT_CHI_IS_XACT_READ ( xact )


(
  (xact.xact_type == svt_chi_transaction::READNOSNP) ||
  (xact.xact_type == svt_chi_transaction::READONCE) ||
  (xact.xact_type == svt_chi_transaction::READSHARED) ||
  (xact.xact_type == svt_chi_transaction::READCLEAN) ||
  `ifdef SVT_CHI_ISSUE_C_ENABLE
  (xact.xact_type == svt_chi_transaction::READNOSNPSEP) ||
  `endif
  `ifdef SVT_CHI_ISSUE_E_ENABLE
  (xact.xact_type == svt_chi_transaction::READPREFERUNIQUE) ||
  (xact.xact_type == svt_chi_transaction::MAKEREADUNIQUE) ||
  (xact.xact_type == svt_chi_transaction::STASHONCESEPSHARED) ||
  (xact.xact_type == svt_chi_transaction::STASHONCESEPUNIQUE) ||
  `endif
  `ifdef SVT_CHI_ISSUE_B_ENABLE
  (xact.xact_type == svt_chi_transaction::READNOTSHAREDDIRTY) ||
  (xact.xact_type == svt_chi_transaction::READONCECLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::READONCEMAKEINVALID) ||
  (xact.xact_type == svt_chi_transaction::STASHONCESHARED) ||
  (xact.xact_type == svt_chi_transaction::STASHONCEUNIQUE) ||
  `endif
  (xact.xact_type == svt_chi_transaction::READUNIQUE) ||
  (xact.xact_type == svt_chi_transaction::CLEANUNIQUE) ||
  (xact.xact_type == svt_chi_transaction::MAKEUNIQUE)
)

 `define SVT_CHI_IS_XACT_WRITE ( xact )


(
  (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL) ||
  `ifdef SVT_CHI_ISSUE_B_ENABLE
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_ADD) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_CLR) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_EOR) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SET) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SMAX) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_SMIN) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_UMAX) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSTORE_UMIN) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_ADD) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_CLR) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_EOR) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SET) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SMAX) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_SMIN) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_UMAX) ||
  (xact.xact_type == svt_chi_transaction::ATOMICLOAD_UMIN) ||
  (xact.xact_type == svt_chi_transaction::ATOMICSWAP) ||
  (xact.xact_type == svt_chi_transaction::ATOMICCOMPARE) ||
  `endif
  `ifdef SVT_CHI_ISSUE_E_ENABLE
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEZERO) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPZERO) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP) ||
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHAREDPERSISTSEP) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHAREDPERSISTSEP) ||
  `endif
  `ifdef SVT_CHI_ISSUE_F_ENABLE
  (xact.xact_type == svt_chi_transaction::WRITENOSNPDEF) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALIDPOPA) ||
  (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALIDPOPA) ||
  `endif
  (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL)
)

 `define SVT_CHI_ISSUE_A_ENABLE

 `define SVT_CHI_ISSUE_B_ENABLE

 `define SVT_CHI_ISSUE_C_ENABLE

 `define SVT_CHI_ISSUE_D_ONLY_FEATURES_ENABLE

 `define SVT_CHI_ISSUE_E_INTERNAL_ENABLE

0

 `define SVT_CHI_ISSUE_F_INTERNAL_ENABLE

0

 `define SVT_CHI_ISSUE_G_INTERNAL_ENABLE

0

 `define SVT_CHI_LIKELYSHARED_WIDTH

1

 `define SVT_CHI_LIMITED_VALIDATION_FEATURE_MSG_COV

Related covergroups are early adopter (EA) features. Coverage closure for these covergroups is not yet achieved within Synopsys internal setup. Users need to exclude any bins that are not valid/not applicable/not possible to hit at their end.

Used for documentation and messaging, for limited validated covergroups

 `define SVT_CHI_LINK_ACTIVITY_MAX_DELAY_COUNT

15

Genaralized maximum delay count applicable for link activity

 `define SVT_CHI_LINK_LAYER

1

 `define SVT_CHI_LOAD_FOLLOWED_BY_STORE_FOLLOWED_BY_STORE_PATTERN_SEQ

11_1_0

 `define SVT_CHI_LOAD_FOLLOWED_BY_STORE_PATTERN_SEQ

11_0_0

 `define SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE

6

log_base_2 of cache line size (64) in CHI

 `define SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE

6

 `define SVT_CHI_LPID_WIDTH

5

Width of LPID field of a Message Request

 `define SVT_CHI_MAX_ADDR_WIDTH

44

Width of Addr field of a Message Request For CHI Issue-B: this is redefinable For CHI Issue-A: this is fixed to 44

 `define SVT_CHI_MAX_ATOMIC_BE_WIDTH

16

Width of atomic operation byte enable field

 `define SVT_CHI_MAX_ATOMIC_DATA_WIDTH

128

Width of atomic operation data field

 `define SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH

16

 `define SVT_CHI_MAX_ATOMIC_LD_ST_BE_WIDTH

8

 `define SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH

64

 `define SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH

8

 `define SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH

1

 `define SVT_CHI_MAX_ATOMIC_POISON_WIDTH

2

 `define SVT_CHI_MAX_BE_WIDTH

64

Width of transaction byte enable field

 `define SVT_CHI_MAX_CBUSY_VALUE

7

 `define SVT_CHI_MAX_COMPDATATOCOMPACKFLIT_DELAY

5

Maximum value for read_xacts_compdata_to_compack_delay_count

 `define SVT_CHI_MAX_COMPTODBID_DELAY

16

Maximum value for comp_to_dbid_flit_delay

 `define SVT_CHI_MAX_DAT_FLIT_REORDERING_DEPTH

256

Maximum value for DAT flit reordering depth

 `define SVT_CHI_MAX_DAT_FLIT_TO_LCRD_DELAY

4

Max value of received DAT flit to LCRD delay

 `define SVT_CHI_MAX_DAT_FLIT_WIDTH

 `define SVT_CHI_MAX_DATA_WIDTH

512

Width of transaction data field

 `define SVT_CHI_MAX_DATACHECK_WIDTH


Width of transaction DataCheck field

 `define SVT_CHI_MAX_DBIDTOCOMP_DELAY

16

Maximum value for dbid_to_comp_flit_delay

 `define SVT_CHI_MAX_DBIDTODATAFLIT_DELAY

5

Maximum value for write_xacts_dbid_to_writedata_delay_count

 `define SVT_CHI_MAX_FLIT_BUFFER_SIZE

15

Max flit buffer size for RX*** VC

 `define SVT_CHI_MAX_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY

20

 `define SVT_CHI_MAX_LPID_WIDTH


Define Max LPID width used for monitoring exclusive accesses by exclusive monitor *

 `define SVT_CHI_MAX_MIN_CYCLES_IN_DEACTIVE

100

Max value for the min_cycles_in_deactive property in the Link Service descriptor

 `define SVT_CHI_MAX_MPAM_PARTID_WIDTH

9

Width of MPAM fields-- applicable for CHI Issue D. User is not expected to change values of these macros.

 `define SVT_CHI_MAX_MPAM_PERFMONGROUP_WIDTH

1

 `define SVT_CHI_MAX_NODE_ID_WIDTH

7

 `define SVT_CHI_MAX_NUM_CACHE_LINES

1024

Maximum number of cache lines

 `define SVT_CHI_MAX_NUM_CLOCK_CYCLES_SPECULATIVE_SACTIVE_SIGNAL_ASSERTED

0

MAX_NUM_CLOCK_CYCLES_SPECULATIVE_SACTIVE_SIGNAL_ASSERTED

 `define SVT_CHI_MAX_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES

0

MAX_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES

 `define SVT_CHI_MAX_NUM_EXCLUSIVE_ACCESS

4

Maximum number of active exclusive accesses at a given node*

 `define SVT_CHI_MAX_NUM_HNS

40

Maximum number of HNs

 `define SVT_CHI_MAX_NUM_INTERCONNECTS

1

 `define SVT_CHI_MAX_NUM_LCREDITS_XMITTED_IN_DEACTIVATE_STATE

0

Maximum number of L-Credits to be transmitted in Deactivate state.

 `define SVT_CHI_MAX_NUM_OUTSTANDING_SNOOP_XACT

1024

Maximum number of outstanding snoop transactions

 `define SVT_CHI_MAX_NUM_OUTSTANDING_XACT

1024

Maximum number of outstanding transactions

 `define SVT_CHI_MAX_NUM_REQ_ORDER_STREAMS

4

Max value for the Number of Request Order Streams. This corresponds to CHI node configuration attribute num_req_order_streams.

 `define SVT_CHI_MAX_NUM_RNS

16

 `define SVT_CHI_MAX_NUM_SNS

16

 `define SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES

0

MAX_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES

 `define SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES

0

MAX_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES

 `define SVT_CHI_MAX_NUM_SYSTEMS

32

 `define SVT_CHI_MAX_PA_WIDTH

 `define SVT_CHI_MAX_PCREDITGRANTTORETRYACK_DELAY

16

Maximum value for pcreditgrant_to_retryack_flit_delay

 `define SVT_CHI_MAX_PERMITTED_TXN_ID_WIDTH

 `define SVT_CHI_MAX_POISON_WIDTH


Macros defining width of Poison field at transaction level

 `define SVT_CHI_MAX_QOS_VALUE


Maximum value of QoS field

 `define SVT_CHI_MAX_RD_INTERLEAVE_DEPTH

256

 `define SVT_CHI_MAX_READ_DATA_INTERLEAVE_SIZE

2

Defines used for read data interleaving in CHI ICN full slave

 `define SVT_CHI_MAX_READ_FIFO_DRAIN_RATE

64

 `define SVT_CHI_MAX_READ_FIFO_FULL_LEVEL

8192

 `define SVT_CHI_MAX_REQ_CHANNELS


Maximum of RN & SN max REQ channel macros

 `define SVT_CHI_MAX_REQ_FLIT_TO_LCRD_DELAY

4

Max value of received REQ flit to LCRD delay

 `define SVT_CHI_MAX_REQ_FLIT_WIDTH

 `define SVT_CHI_MAX_REQTOCOMP_DELAY

16

Maximum value for req_to_comp_flit_delay

 `define SVT_CHI_MAX_REQTOCOMPDATA_DELAY

16

Maximum value for req_to_compdata_flit_delay

 `define SVT_CHI_MAX_REQTOCOMPDBID_DELAY

16

Maximum value for req_to_compdbid_flit_delay

 `define SVT_CHI_MAX_REQTOCOMPPERSIST_DELAY

16

Maximum value of req_to_comppersist_flit_delay

 `define SVT_CHI_MAX_REQTODBID_DELAY

16

Maximum value for req_to_dbid_flit_delay

 `define SVT_CHI_MAX_REQTOPCREDITGRANT_DELAY

16

Maximum value for req_to_pcrdgrant_flit_delay

 `define SVT_CHI_MAX_REQTOPERSIST_DELAY

16

Maximum value of req_to_persist_flit_delay

 `define SVT_CHI_MAX_REQTORETRYACK_DELAY

16

Maximum value for req_to_retryack_flit_delay

 `define SVT_CHI_MAX_RETRYACKTOPCREDITGRANT_DELAY

16

Maximum value for retryack_to_pcreditgrant_flit_delay

 `define SVT_CHI_MAX_RSP_FLIT_REORDERING_DEPTH

256

Maximum value for RSP flit reordering depth

 `define SVT_CHI_MAX_RSP_FLIT_TO_LCRD_DELAY

4

Max value of received RSP flit to LCRD delay

 `define SVT_CHI_MAX_RSP_FLIT_WIDTH

 `define SVT_CHI_MAX_RXDAT_CHANNELS


Maximum of RN & SN max RXDAT channel macros

 `define SVT_CHI_MAX_RXDATLCRDV_DELAY

16

Maximum value for RXDATLCRDV_DELAY

 `define SVT_CHI_MAX_RXRSP_CHANNELS


Maximum of RN RXRSP channel macros

 `define SVT_CHI_MAX_RXRSPLCRDV_DELAY

16

Maximum value for RXRSPLCRDV_DELAY

 `define SVT_CHI_MAX_RXSNP_CHANNELS


Maximum of RN RXSNP channel macros

 `define SVT_CHI_MAX_RXSNPLCRDV_DELAY

16

Maximum value for RXSNPLCRDV_DELAY

 `define SVT_CHI_MAX_SNP_FLIT_TO_LCRD_DELAY

4

Max value of received SNP flit to LCRD delay

 `define SVT_CHI_MAX_SNP_FLIT_WIDTH

 `define SVT_CHI_MAX_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY

5

Maximum value for snpstashunique_xact_to_snpresp_delay

 `define SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY

5

Maximum value for snpunique_snpcleaninvalid_snpmakeinvalid_xact_to_snpresp_delay

 `define SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY

5

Maximum value for snpunique_snpcleaninvalid_xact_to_snprespdata_delay

 `define SVT_CHI_MAX_TAGGED_ADDR_WIDTH

 `define SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITPEND_DELAY

16

Maximum value for TX_DATAPULL_COMPACK_FLITPEND_DELAY

 `define SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITV_DELAY

16

Maximum value for TX_DATAPULL_COMPACK_FLITV_DELAY

 `define SVT_CHI_MAX_TX_FLIT_DELAY

16

Max value for the flit delay. Must not conflict with `SVT_CHI_MAX_TX***FLITPEND_DELAY

 `define SVT_CHI_MAX_TX_FLITPEND_FLITV_DELAY

16

Max value for the flitpend->flitv delay. Must not conflict with `SVT_CHI_MAX_TX***FLITV_DELAY

 `define SVT_CHI_MAX_TXDAT_CHANNELS


Maximum of RN & SN max TXDAT channel macros

 `define SVT_CHI_MAX_TXDATFLITPEND_DELAY

16

Maximum value for TXDATFLITPEND_DELAY

 `define SVT_CHI_MAX_TXDATFLITV_DELAY

16

Maximum value for TXDATFLITV_DELAY

 `define SVT_CHI_MAX_TXN_ID_WIDTH

10

 `define SVT_CHI_MAX_TXREQFLITPEND_DELAY

16

Maximum value for TXREQFLITPEND_DELAY

 `define SVT_CHI_MAX_TXREQFLITV_DELAY

16

Maximum value for TXREQFLITV_DELAY

 `define SVT_CHI_MAX_TXRSP_CHANNELS


Maximum of RN & SN max TXRSP channel macros

 `define SVT_CHI_MAX_TXRSPFLITPEND_DELAY

16

Maximum value for TXRSPFLITPEND_DELAY

 `define SVT_CHI_MAX_TXRSPFLITV_DELAY

16

Maximum value for TXRSPFLITV_DELAY

 `define SVT_CHI_MAX_TXSNPFLITV_DELAY

16

Maximum value for TXSNPFLITV_DELAY

 `define SVT_CHI_MAX_VA_WIDTH

((SVT_CHI_MAX_ADDR_WIDTH == 44)?49:((SVT_CHI_MAX_ADDR_WIDTH == 45)?51:53))

 `define SVT_CHI_MAX_VAL_FOR_LINK_ACTIVATION_TIMEOUT

200

Max value for the TX Link Activation Timeout

 `define SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIME

200

Max value for the counter for Link Deactivation

 `define SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIMEOUT

200

Max value for the TX Link Deactivation Timeout

 `define SVT_CHI_MAX_VAL_RX_VC_CREDIT_TRANSMISSION_TIMEOUT

300

Max value for the RX VC credit transmission timeeout

 `define SVT_CHI_MAX_WR_INTERLEAVE_DEPTH

256

 `define SVT_CHI_MAX_WRITE_DATA_INTERLEAVE_SIZE

2

Defines used for Write data interleaving in CHI RN-F Node

 `define SVT_CHI_MAX_WRITE_FIFO_FILL_RATE

64

 `define SVT_CHI_MAX_WRITE_FIFO_FULL_LEVEL

8192

 `define SVT_CHI_MAX_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT

100

Maximum value for XACT DAT VC access fail count. This should be >= 20 and >= 1.

 `define SVT_CHI_MAX_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT

100

Maximum value for XACT RSP VC access fail count. This should be >= 20 and >= 1.

 `define SVT_CHI_MEM_ATTR_WIDTH

4

Width of Mem Attr field

 `define svt_chi_mem_debug ( id, msg )


if (chi_mem_reporter == null)
  svt_debug(id, msg);
else
 `ifdef uvm_info_context
   do
     uvm_info_context(id, msg, UVM_HIGH, chi_mem_reporter)
   while (0)
 `else
   do
     if (chi_mem_reporter.uvm_report_enabled(UVM_HIGH,UVM_INFO,id))
       chi_mem_reporter.uvm_report_info(id, msg, UVM_HIGH);
   while (0)
 `endif

 `define svt_chi_mem_error ( id, msg )


if (chi_mem_reporter == null)
  svt_error(id, msg);
else
 `ifdef uvm_error_context
   do
     uvm_error_context(id, msg, chi_mem_reporter)
   while (0)
 `else
   do
     if (chi_mem_reporter.uvm_report_enabled(UVM_NONE,UVM_ERROR,id))
       chi_mem_reporter.uvm_report_error(id, msg);
   while (0)
 `endif

This is an SVT memory class customized for CHI.

 `define svt_chi_mem_note ( id, msg )


if (chi_mem_reporter == null)
  svt_note(id, msg);
else
 `ifdef uvm_info_context
   do
     uvm_info_context(id, msg, UVM_LOW, chi_mem_reporter)
   while (0)
 `else
   do
     if (chi_mem_reporter.uvm_report_enabled(UVM_LOW,UVM_INFO,id))
       chi_mem_reporter.uvm_report_info(id, msg, UVM_LOW);
   while (0)
 `endif

 `define SVT_CHI_MEM_TYPE_DEVICE

1

 `define SVT_CHI_MEM_TYPE_NORMAL

0

Defines for Memory types, also used by mem_attr_mem_type_enum

 `define svt_chi_mem_verbose ( id, msg )


if (chi_mem_reporter == null)
  svt_verbose(id, msg);
else
 `ifdef uvm_info_context
   do
     uvm_info_context(id, msg, UVM_FULL, chi_mem_reporter)
   while (0)
 `else
   do
     if (chi_mem_reporter.uvm_report_enabled(UVM_FULL,UVM_INFO,id))
       chi_mem_reporter.uvm_report_info(id, msg, UVM_FULL);
   while (0)
 `endif

 `define svt_chi_mem_warning ( id, msg )


if (chi_mem_reporter == null)
  svt_warning(id, msg);
else
 `ifdef uvm_warning_context
   do
     uvm_warning_context(id, msg, chi_mem_reporter)
   while(0)
 `else
   do
     if (chi_mem_reporter.uvm_report_enabled(UVM_NONE,UVM_WARNING,id))
       chi_mem_reporter.uvm_report_warning(id, msg);
   while (0)
 `endif

 `define SVT_CHI_MEMORY_RESPONSE_GEN_SOURCE

5

 `define SVT_CHI_MID_COMPDATATOCOMPACKFLIT_DELAY

3

Macro to control number of bins for read_xacts_compdata_to_compack_delay_count

 `define SVT_CHI_MID_DBIDTODATAFLIT_DELAY

3

Macro to control number of bins for write_xacts_dbid_to_writedata_delay_count

 `define SVT_CHI_MID_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY

3

Macro to control number of bins for snpstashunique_xact_to_snpresp_delay

 `define SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY

3

Macro to control number of bins for snpunique_snpcleaninvalid_snpmakeinvalid_xact_to_snpresp_delay

 `define SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY

3

Macro to control number of bins for snpunique_snpcleaninvalid_xact_to_snprespdata_delay

 `define SVT_CHI_MIN_COMPDATATOCOMPACKFLIT_DELAY

1

Minimum value for read_xacts_compdata_to_compack_delay_count

 `define SVT_CHI_MIN_COMPTODBID_DELAY

0

Minimum value for comp_to_dbid_flit_delay

 `define SVT_CHI_MIN_DAT_FLIT_TO_LCRD_DELAY

0

Min value of received DAT flit to LCRD delay

 `define SVT_CHI_MIN_DBIDTOCOMP_DELAY

0

Minimum value for dbid_to_comp_flit_delay

 `define SVT_CHI_MIN_DBIDTODATAFLIT_DELAY

1

Minimum value for write_xacts_dbid_to_writedata_delay_count

 `define SVT_CHI_MIN_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY

5

 `define SVT_CHI_MIN_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES

0

MIN_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES

 `define SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES

0

MIN_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES

 `define SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES

0

MIN_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES

 `define SVT_CHI_MIN_PCREDITGRANTTORETRYACK_DELAY

0

Minimum value for pcreditgrant_to_retryack_flit_delay

 `define SVT_CHI_MIN_REQ_FLIT_TO_LCRD_DELAY

0

Min value of received REQ flit to LCRD delay

 `define SVT_CHI_MIN_REQTOCOMP_DELAY

0

Minimum value for req_to_comp_flit_delay

 `define SVT_CHI_MIN_REQTOCOMPDATA_DELAY

0

Minimum value for req_to_compdata_flit_delay

 `define SVT_CHI_MIN_REQTOCOMPDBID_DELAY

0

Minimum value for req_to_compdbid_flit_delay

 `define SVT_CHI_MIN_REQTOCOMPPERSIST_DELAY

0

Minimum value of req_to_comppersist_flit_delay

 `define SVT_CHI_MIN_REQTODBID_DELAY

0

Minimum value for req_to_dbid_flit_delay

 `define SVT_CHI_MIN_REQTOPCREDITGRANT_DELAY

0

Minimum value for req_to_pcrdgrant_flit_delay

 `define SVT_CHI_MIN_REQTOPERSIST_DELAY

0

Minimum value of req_to_persist_flit_delay

 `define SVT_CHI_MIN_REQTORETRYACK_DELAY

0

Minimum value for req_to_retryack_flit_delay

 `define SVT_CHI_MIN_RETRYACKTOPCREDITGRANT_DELAY

0

Minimum value for retryack_to_pcreditgrant_flit_delay

 `define SVT_CHI_MIN_RSP_FLIT_TO_LCRD_DELAY

0

Min value of received RSP flit to LCRD delay

 `define SVT_CHI_MIN_RXDATLCRDV_DELAY

0

Mininum value for RXDATLCRDV_DELAY

 `define SVT_CHI_MIN_RXRSPLCRDV_DELAY

1

Mininum value for RXRSPLCRDV_DELAY

 `define SVT_CHI_MIN_RXSNPLCRDV_DELAY

1

Mininum value for RXSNPLCRDV_DELAY

 `define SVT_CHI_MIN_SNP_FLIT_TO_LCRD_DELAY

0

Min value of received SNP flit to LCRD delay

 `define SVT_CHI_MIN_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY

1

Minimum value for snpstashunique_xact_to_snpresp_delay

 `define SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY

1

Minimum value for snpunique_snpcleaninvalid_snpmakeinvalid_xact_to_snpresp_delay

 `define SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY

1

Minimum value for snpunique_snpcleaninvalid_xact_to_snprespdata_delay

 `define SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITPEND_DELAY

0

Mininum value for TX_DATAPULL_COMPACK_FLITPEND_DELAY

 `define SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITV_DELAY

1

Mininum value for TX_DATAPULL_COMPACK_FLITV_DELAY

 `define SVT_CHI_MIN_TXDATFLITPEND_DELAY

0

Mininum value for TXDATFLITPEND_DELAY

 `define SVT_CHI_MIN_TXDATFLITV_DELAY

1

Mininum value for TXDATFLITV_DELAY

 `define SVT_CHI_MIN_TXREQFLITPEND_DELAY

0

Mininum value for TXREQFLITPEND_DELAY

 `define SVT_CHI_MIN_TXREQFLITV_DELAY

1

Mininum value for TXREQFLITV_DELAY

 `define SVT_CHI_MIN_TXRSPFLITPEND_DELAY

0

Mininum value for TXRSPFLITPEND_DELAY

 `define SVT_CHI_MIN_TXRSPFLITV_DELAY

1

Mininum value for TXRSPFLITV_DELAY

 `define SVT_CHI_MIN_TXSNPFLITV_DELAY

1

Mininum value for TXSNPFLITV_DELAY

 `define SVT_CHI_MONITOR_IF_HOLD_TIME

0.1

 `define SVT_CHI_MONITOR_IF_SETUP_TIME

0.1

 `define SVT_CHI_MPAM_INTERNAL_WIDTH_ENABLE

1

 `define SVT_CHI_MPAM_NS_WIDTH

1

 `define SVT_CHI_MPAM_PARTID_WIDTH_12BIT

12

 `define SVT_CHI_MPAM_PARTID_WIDTH_9BIT

9

Different MPAM_PARTID widths

 `define SVT_CHI_MPAM_WIDTH

11

 `define SVT_CHI_MS_SCENARIO_GEN_SOURCE

3

 `define SVT_CHI_NEW_LINK_ERR_CHECK_STATS ( stats_name, stats_description, reference, sub_group_name )


stats_name = new("",SVT_DATA_UTIL_ARG_TO_STRING(stats_name),
                 group_name,sub_group_name,
                 {stats_description},
                 {reference},svt_err_check_stats::ERROR,0,1
       `ifdef SVT_AMBA_ERR_CHECK_STATS_USED
        ,generic_prefix_str,
                   `SVT_AMBA_ERR_CHECK_STATS_SUFFIX_STR(stats_name)
       `endif
                 );
register_check(stats_name);
`ifndef SVT_VMM_TECHNOLOGY
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_LL_CHECK_COVERAGE
SVT_ERR_CHECK_STATS_COV_PREFIX_EXTENDED_CLASS_OVERRIDE(svt_chi_link,stats_name)
`endif
`endif
stats_name.set_default_pass_effect(svt_err_check_stats::EXPECTED);
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_LL_CHECK_COVERAGE
if ((node_cfg.ll_protocol_checks_coverage_enable == 1) && (enable_pc_cov ==1))
stats_name.add_cov(.enable_pass_cov(1),.enable_fail_cov(0));
`endif

 `define SVT_CHI_NEW_LINK_WARN_CHECK_STATS ( stats_name, stats_description, reference, sub_group_name )


stats_name = new("",SVT_DATA_UTIL_ARG_TO_STRING(stats_name),
                 group_name,sub_group_name,
                 {stats_description},
                 {reference},svt_err_check_stats::WARNING,0,1
       `ifdef SVT_AMBA_ERR_CHECK_STATS_USED
        ,generic_prefix_str,
                   `SVT_AMBA_ERR_CHECK_STATS_SUFFIX_STR(stats_name)
       `endif
                 );
register_check(stats_name);
`ifndef SVT_VMM_TECHNOLOGY
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_LL_CHECK_COVERAGE
SVT_ERR_CHECK_STATS_COV_PREFIX_EXTENDED_CLASS_OVERRIDE(svt_chi_link,stats_name)
`endif
`endif
stats_name.set_default_pass_effect(svt_err_check_stats::EXPECTED);
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_LL_CHECK_COVERAGE
if ((node_cfg.ll_protocol_checks_coverage_enable == 1) && (enable_pc_cov ==1))
stats_name.add_cov(.enable_pass_cov(1),.enable_fail_cov(0));
`endif

 `define SVT_CHI_NEW_PROT_ERR_CHECK_STATS ( stats_name, stats_description, reference, sub_group_name )


stats_name = new("",SVT_DATA_UTIL_ARG_TO_STRING(stats_name),
                 group_name,sub_group_name,
                 {stats_description},
                 {reference},svt_err_check_stats::ERROR,0,1
       `ifdef SVT_AMBA_ERR_CHECK_STATS_USED
        ,generic_prefix_str,
                   `SVT_AMBA_ERR_CHECK_STATS_SUFFIX_STR(stats_name)
       `endif
                 );
register_check(stats_name);
`ifndef SVT_VMM_TECHNOLOGY
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_PL_CHECK_COVERAGE
SVT_ERR_CHECK_STATS_COV_PREFIX_EXTENDED_CLASS_OVERRIDE(svt_chi_prot,stats_name)
`endif
`endif
stats_name.set_default_pass_effect(svt_err_check_stats::EXPECTED);
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_PL_CHECK_COVERAGE
if ((node_cfg.pl_protocol_checks_coverage_enable == 1) && (enable_pc_cov ==1))
stats_name.add_cov(.enable_pass_cov(1),.enable_fail_cov(0));
`endif

 `define SVT_CHI_NEW_PROT_WARN_CHECK_STATS ( stats_name, stats_description, reference, sub_group_name )


stats_name = new("",SVT_DATA_UTIL_ARG_TO_STRING(stats_name),
                 group_name,sub_group_name,
                 {stats_description},
                 {reference},svt_err_check_stats::WARNING,0,1
       `ifdef SVT_AMBA_ERR_CHECK_STATS_USED
        ,generic_prefix_str,
                   `SVT_AMBA_ERR_CHECK_STATS_SUFFIX_STR(stats_name)
       `endif
                 );
register_check(stats_name);
`ifndef SVT_VMM_TECHNOLOGY
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_PL_CHECK_COVERAGE
SVT_ERR_CHECK_STATS_COV_PREFIX_EXTENDED_CLASS_OVERRIDE(svt_chi_prot,stats_name)
`endif
`endif
stats_name.set_default_pass_effect(svt_err_check_stats::EXPECTED);
`ifndef SVT_AMBA_EXCLUDE_CHI_NODE_PL_CHECK_COVERAGE
if ((node_cfg.pl_protocol_checks_coverage_enable == 1) && (enable_pc_cov ==1))
stats_name.add_cov(.enable_pass_cov(1),.enable_fail_cov(0));
`endif

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_16

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_16

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_14

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_14

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_15

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_15

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_13

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_13

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_12

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_12

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_10

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_10

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_11

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_11

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_9

 `define SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_9

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_8

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_8

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_6

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_6

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_7

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_7

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_5

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_5

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_4

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_4

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_2

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_2

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_3

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_3

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2_1

 `define SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1_1

 `define SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_PATTERN_SEQ

6_0

 `define SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ

6_2

 `define SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ

6_1

 `define SVT_CHI_NO_ORDERING_REQUIRED


Defines for different order types, also used by order_type_enum

 `define SVT_CHI_NO_SOURCE

0

 `define SVT_CHI_NODE_CFG_DEFAULT_ASYNC_INPUT_BANNED_OUTPUT_RACE_LINK_ACTIVE_STATES_TIMEOUT

0

Default value of CHI Node Configuration attribute async_input_banned_output_race_link_active_states_timeout

 `define SVT_CHI_NODE_CFG_DEFAULT_CHI_SPEC_REVISION

ISSUE_A

Default value of CHI Extensions enable attribute

 `define SVT_CHI_NODE_CFG_DEFAULT_CLEANSHAREDPERSISTSEP_XACT_ENABLE

0

 `define SVT_CHI_NODE_CFG_DEFAULT_ENABLE_MPAM

0

Default value of node configuration attribute enable_mpam

 `define SVT_CHI_NODE_CFG_DEFAULT_IF_PARITY

UNSUPPORTED

Default value of node configuration attribute interface_parity_check_type

 `define SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_ASYNC_INPUT_RACE_STATE_EXPECTED

1

Default value of CHI Node Configuration attribute is_link_active_state_machine_in_async_input_race_state_expected

 `define SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_BANNED_OUTPUT_RACE_STATE_EXPECTED

1

Default value of CHI Node Configuration attribute is_link_active_state_machine_in_banned_output_race_state_expected

 `define SVT_CHI_NODE_CFG_DEFAULT_MPAM_PARTID_PMG_TYPE

CHI_MPAM_9_1

Default value of node configuration attribute mpam_partid_pmg_type

 `define SVT_CHI_NODE_CFG_DEFAULT_NDERR_RESP_POLICY

CHI_E_SPEC_BEHAVIOR

Default_value of node configuration attribute nderr_resp_policy.

 `define SVT_CHI_NODE_CFG_DEFAULT_RESET_TYPE

EXCLUDE_UNSTARTED_XACT

Default value of node configuration attribute reset_type.

 `define SVT_CHI_NODE_CFG_DEFAULT_STOP_SNP_LCRD_XMISSION_WHEN_TXLA_NOT_IN_RUN_STATE

0

Default value of CHI Node Configuration attribute stop_snp_lcrd_xmission_when_txla_not_in_run_state

 `define SVT_CHI_NODE_CFG_PARTIAL_CACHE_STATES_ENABLE

0

Default value of node configuration attribute partial_cache_line_states_enable.

 `define SVT_CHI_NODE_COV_NUM_BYTE_ENABLE_BINS

16

Used to define the number of bins for byte_enable values in node level covergroup trans_cross_chi_rn_writeuniqueptl_byte_enable.

 `define SVT_CHI_NODE_ID_WIDTH


Width of Node ID fields

 `define SVT_CHI_NODE_INFO_PRINT_PREFIX ( cfg )


$sformatf("{SYS_ID(%0d) NODE_ID(%0d)} ",
          (((cfg !=null) && (cfg.sys_cfg != null))?cfg.sys_cfg.system_id:-1),
          ((cfg != null)?cfg.node_id:-1))

 `define SVT_CHI_NON_COHERENT_EXCLUSIVE_ACCESS_CONDITION ( xact )


((xact.xact_type == svt_chi_transaction::WRITENOSNPPTL ||
      xact.xact_type == svt_chi_transaction::WRITENOSNPFULL ||
       xact.xact_type == svt_chi_transaction::READNOSNP )
    )

 `define SVT_CHI_NON_CONTIGUOUS_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ

5_2_4_2

 `define SVT_CHI_NON_CONTIGUOUS_4_TIMES_ORDER_TYPE_PATTERN_SEQ

5_2_4

 `define SVT_CHI_NON_CONTIGUOUS_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ

5_2_4_1

 `define SVT_CHI_NON_CONTIGUOUS_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ

5_2_8_2

 `define SVT_CHI_NON_CONTIGUOUS_8_TIMES_ORDER_TYPE_PATTERN_SEQ

5_2_8

 `define SVT_CHI_NON_CONTIGUOUS_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ

5_2_8_1

 `define SVT_CHI_NORMAL_CMO_XACT ( xact )


(
  (xact.xact_type == svt_chi_transaction::CLEANINVALID) ||
  (xact.xact_type == svt_chi_transaction::MAKEINVALID) ||
  (xact.xact_type == svt_chi_transaction::CLEANSHARED)
)

 `define SVT_CHI_NS_WIDTH

1

 `define SVT_CHI_NUM_P_CRD_TYPES

16

 `define SVT_CHI_ORDER_WIDTH

2

Width of order field

 `define SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID

10_12

 `define SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID

10_11

 `define SVT_CHI_P_CRD_TYPE0


Defines for different P Credit types, also used by p_crd_type_enum

 `define SVT_CHI_P_CRD_TYPE1

 `define SVT_CHI_P_CRD_TYPE10

 `define SVT_CHI_P_CRD_TYPE11

 `define SVT_CHI_P_CRD_TYPE12

 `define SVT_CHI_P_CRD_TYPE13

 `define SVT_CHI_P_CRD_TYPE14

 `define SVT_CHI_P_CRD_TYPE15

 `define SVT_CHI_P_CRD_TYPE2

 `define SVT_CHI_P_CRD_TYPE3

 `define SVT_CHI_P_CRD_TYPE4

 `define SVT_CHI_P_CRD_TYPE5

 `define SVT_CHI_P_CRD_TYPE6

 `define SVT_CHI_P_CRD_TYPE7

 `define SVT_CHI_P_CRD_TYPE8

 `define SVT_CHI_P_CRD_TYPE9

 `define SVT_CHI_P_CRD_TYPE_WIDTH

4

Width of P Credit type field

 `define SVT_CHI_PCRDRETURN_TYPE_SEMANTIC

2

 `define SVT_CHI_PERSIST_CMO_XACT ( xact )


(
  `ifdef SVT_CHI_ISSUE_B_ENABLE
  (xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST)
  `endif
  `ifdef SVT_CHI_ISSUE_D_ENABLE
  || (xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSISTSEP )
  `endif
)

 `define SVT_CHI_PGROUPID_WIDTH

5

Width of PGroupID field

 `define SVT_CHI_POISON_INTERNAL_WIDTH_ENABLE

1

Width of Posion field-- applicable for CHI Issue B

 `define SVT_CHI_PREFETCHTGT_TYPE_SEMANTIC

4

 `define SVT_CHI_PREV_RXDATFLITV_VALID_REF

5

 `define SVT_CHI_PREV_TXDATFLITV_VALID_REF

3

 `define SVT_CHI_PREV_TXREQFLITPEND_VALID_REF

0

Defines used by delay reference events

 `define SVT_CHI_PRINT_PREFIX ( xact )


$sformatf("{%0s%0sSYS_ID('d%0d) %0sOBJ_NUM('d%0d) NODE_ID('d%0d) %0sTYPE(%0s)%0s TXN_ID('h%0h) QOS('h%0h) ADDR('h%0x)%0s%0s SIZE(%0s)%0s %0sNS(%0b) RETRY_ALLOWED(%0b)%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s ALLOCATE(%0b) SNPATTR('b%0b%0b)%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s} ",
          (((xact != null) && (xact.object_context != ""))?$sformatf("%0s: ", xact.object_context):""),
          (((xact != null) && (xact.object_info != ""))?$sformatf("OBJ_INFO(%0s) ", xact.object_info):""),
          ((xact != null && xact.cfg!= null && xact.cfg.sys_cfg != null)?xact.cfg.sys_cfg.system_id:-1),
          ((xact != null && xact.cfg!= null)?((xact.cfg.port_interleaving_enable==0)?"":($sformatf("INTRVED_GRP_OBJ_NUM('d%0d) ",xact.interleaved_group_object_num))):""),
          ((xact != null)?xact.object_num:-1),
          ((xact != null && xact.cfg!= null)?xact.cfg.node_id:-1),
          ((xact != null)?xact.source_target_info:""),
          ((xact != null)?xact.xact_type.name:"null"),
          ((xact != null)?((xact.xact_type==svt_chi_transaction::DVMOP)?(xact.is_dvm_msg_type_sync()?" DVM_TYPE(SYNC)":" DVM_TYPE(NON-SYNC)"):""):""),
          ((xact != null)?xact.txn_id:0),
          ((xact != null)?xact.qos:0),
          ((xact != null)?xact.addr:0),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.pbha_support == svt_chi_node_configuration::CHI_PBHA_SUPPORT_TRUE)?($sformatf(" PBHA('h%0h) PBHA_POLICY(%0s)", xact.pbha, xact.pbha_policy.name())):""):""),
          `else
          "",
          `endif
          `ifdef SVT_CHI_ISSUE_G_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.mec_support == svt_chi_node_configuration::CHI_MEC_TRUE)?($sformatf(" MECID('h%0h) MECID_POLICY(%0s)", xact.mecid, xact.mecid_policy.name())):""):""),
          `else
          "",
          `endif
          ((xact != null)?xact.data_size.name:"null"),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.cah_support == svt_chi_node_configuration::CHI_CAH_SUPPORT_TRUE && (xact.is_cah_applicable_xact() == 1 || xact.get_semantic()==SVT_CHI_READ_TYPE_SEMANTIC))?($sformatf(" CAH('b%0b)", xact.cah)):""):""),
          `else
          "",
          `endif
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?($sformatf("NSE(%0b) ", xact.non_secure_ext)):""),
          `else
          "",
          `endif
          ((xact != null)?xact.is_non_secure_access:0),
          ((xact != null)?xact.is_dyn_p_crd:0),
          `ifdef SVT_CHI_ISSUE_B_ENABLE
          ((xact != null)?(xact.get_poison_str()):""),
          ((xact != null)?({" DATACHECK(",$sformatf("'h%0h", xact.datacheck),")"}):""),
          ((xact != null)?(
                           ((
                            xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH || xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH
                            || xact.xact_type == svt_chi_transaction::STASHONCESHARED || xact.xact_type == svt_chi_transaction::STASHONCEUNIQUE
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            || xact.xact_type == svt_chi_transaction::STASHONCESEPSHARED || xact.xact_type == svt_chi_transaction::STASHONCESEPUNIQUE
                            `endif
                           )
                          )? {$sformatf(" STASH_NID_VALID(%0b) STASH_NID('h%0h) STASH_LPID_VALID(%0b) STASH_LPID('h%0h)", xact.stash_nid_valid, xact.stash_nid, xact.stash_lpid_valid, xact.stash_lpid)}:"")
                          :""),
          `else
            "",
            "",
            "",
          `endif
          ((xact != null)?{" COMPACK(",$sformatf("%0b", xact.exp_comp_ack),")"}:""),
          ((xact != null && xact.cfg!= null)?(((xact.xact_type==svt_chi_transaction::READCLEAN)||(xact.xact_type==svt_chi_transaction::READNOSNP)||
                            (xact.xact_type==svt_chi_transaction::READSHARED)||(xact.xact_type==svt_chi_transaction::CLEANUNIQUE)||
                            (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)
                            `ifdef SVT_CHI_ISSUE_B_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?(xact.xact_type==svt_chi_transaction::READNOTSHAREDDIRTY):"")
                            `endif
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::READPREFERUNIQUE):"")
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::MAKEREADUNIQUE):"")
                            `endif
                           )?{" IS_EXCLUSIVE(",$sformatf("%0b", xact.is_exclusive),")"}:""):""),
          ((xact != null && xact.cfg!= null)?(((((xact.xact_type==svt_chi_transaction::READCLEAN)||(xact.xact_type==svt_chi_transaction::READNOSNP)||
                             (xact.xact_type==svt_chi_transaction::READSHARED)||(xact.xact_type==svt_chi_transaction::CLEANUNIQUE)||
                             (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)
                            `ifdef SVT_CHI_ISSUE_B_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?(xact.xact_type==svt_chi_transaction::READNOTSHAREDDIRTY):"")
                            `endif
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::READPREFERUNIQUE):"")
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::MAKEREADUNIQUE):"")
                            `endif
                            ) &&
                            (xact.is_exclusive==1)) || xact.xact_type == svt_chi_transaction::DVMOP)?{" LPID(",$sformatf("'h%0h", xact.lpid),")"}:""):""),
          ((xact != null && xact.cfg!= null)?((((xact.xact_type==svt_chi_transaction::READCLEAN)||(xact.xact_type==svt_chi_transaction::READNOSNP)||
                             (xact.xact_type==svt_chi_transaction::READSHARED)||(xact.xact_type==svt_chi_transaction::CLEANUNIQUE)||
                             (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)
                            `ifdef SVT_CHI_ISSUE_B_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?(xact.xact_type==svt_chi_transaction::READNOTSHAREDDIRTY):"")
                            `endif
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::READPREFERUNIQUE):"")
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::MAKEREADUNIQUE):"")
                            `endif
                            ) &&
                            (xact.is_exclusive==1))?{" EXCL_ACCESS_STATUS(",$sformatf("%0s", xact.excl_access_status.name()),")"}:""):""),
          ((xact != null && xact.cfg!= null)?((((xact.xact_type==svt_chi_transaction::READCLEAN)||(xact.xact_type==svt_chi_transaction::READNOSNP)||
                             (xact.xact_type==svt_chi_transaction::READSHARED)||(xact.xact_type==svt_chi_transaction::CLEANUNIQUE)||
                             (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)
                            `ifdef SVT_CHI_ISSUE_B_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?(xact.xact_type==svt_chi_transaction::READNOTSHAREDDIRTY):"")
                            `endif
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::READPREFERUNIQUE):"")
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::MAKEREADUNIQUE):"")
                            `endif
                            ) &&
                            (xact.is_exclusive==1))?{" EXCL_MON_STATUS(",$sformatf("%0s", xact.excl_mon_status.name()),")"}:""):""),
          ((xact != null && xact.cfg!= null)?((((xact.xact_type==svt_chi_transaction::READCLEAN)||(xact.xact_type==svt_chi_transaction::READNOSNP)||
                             (xact.xact_type==svt_chi_transaction::READSHARED)||(xact.xact_type==svt_chi_transaction::CLEANUNIQUE)||
                             (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)
                            `ifdef SVT_CHI_ISSUE_B_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?(xact.xact_type==svt_chi_transaction::READNOTSHAREDDIRTY):"")
                            `endif
                            `ifdef SVT_CHI_ISSUE_E_ENABLE
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::READPREFERUNIQUE):"")
                            ||
                            ((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_E)?(xact.xact_type==svt_chi_transaction::MAKEREADUNIQUE):"")
                            `endif
                            ) &&
                            (xact.is_exclusive==1) && (xact.excl_access_status != svt_chi_transaction::EXCL_ACCESS_INITIAL))?{" EXCL_XACT_DROP_COND(",$sformatf("%0s", xact.excl_xact_drop_cond.name()),")"}:""):""),
                            `ifdef SVT_CHI_ISSUE_C_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READONCE)||(xact.xact_type==svt_chi_transaction::READONCECLEANINVALID)||(xact.xact_type==svt_chi_transaction::READONCEMAKEINVALID)||(xact.xact_type==svt_chi_transaction::READNOSNPSEP)||(xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL)||(xact.is_atomicop_xact() == 1))?{" ORDER(",xact.order_type.name(),")"}:""):""),
                            `elsif SVT_CHI_ISSUE_B_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READONCE)||(xact.xact_type==svt_chi_transaction::READONCECLEANINVALID)||(xact.xact_type==svt_chi_transaction::READONCEMAKEINVALID)||(xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL)||(xact.is_atomicop_xact() == 1))?{" ORDER(",xact.order_type.name(),")"}:""):""),
                            `else
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READONCE)||(xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL)||(xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL))?{" ORDER(",xact.order_type.name(),")"}:""):""),
                            `endif
                            `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANINVALIDPOPA) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANINVALIDPOPA) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEZERO) || (xact.xact_type==svt_chi_transaction::WRITENOSNPZERO) || (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED) || (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED))?{" ORDER(",xact.order_type.name(),")"}:""):""),
                            `elsif SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::WRITEUNIQUEZERO) || (xact.xact_type==svt_chi_transaction::WRITENOSNPZERO) || (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED) || (xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANSHARED) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED))?{" ORDER(",xact.order_type.name(),")"}:""):""),
                            `else
                              "",
                            `endif
          ((xact != null)?xact.mem_attr_allocate_hint:0),
          ((xact != null && xact.cfg.chi_spec_revision < svt_chi_node_configuration::ISSUE_B)?xact.snp_attr_snp_domain_type:0),
          ((xact != null)?xact.snp_attr_is_snoopable:0),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::CLEANSHARED)||(xact.xact_type==svt_chi_transaction::CLEANINVALIDPOPA)||(xact.xact_type==svt_chi_transaction::CLEANINVALID)||(xact.xact_type==svt_chi_transaction::MAKEINVALID)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL))?{" CACHEABLE(",$sformatf("%0b", xact.mem_attr_is_cacheable),")"}:""):""),
          `else
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::CLEANSHARED)||(xact.xact_type==svt_chi_transaction::CLEANINVALID)||(xact.xact_type==svt_chi_transaction::MAKEINVALID)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL))?{" CACHEABLE(",$sformatf("%0b", xact.mem_attr_is_cacheable),")"}:""):""),
          `endif
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL))?{" MEM_TYPE(",xact.mem_attr_mem_type.name,")"}:""):""),
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::READNOSNP)||(xact.xact_type==svt_chi_transaction::WRITENOSNPFULL)||(xact.xact_type==svt_chi_transaction::WRITENOSNPPTL))?{" EWA(",$sformatf("%0b", xact.mem_attr_is_early_wr_ack_allowed),")"}:""):""),
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.is_combined_writenosnpfull_cmo_xact() || xact.is_combined_writenosnpptl_cmo_xact())?($sformatf(" CACHEABLE\(%0b\) MEM_TYPE\(%0s\) EWA\(%0b\)", xact.mem_attr_is_cacheable, xact.mem_attr_mem_type, xact.mem_attr_is_early_wr_ack_allowed)):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?(((xact.xact_type==svt_chi_transaction::WRITENOSNPFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type==svt_chi_transaction::WRITENOSNPPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type==svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type==svt_chi_transaction::WRITEBACKFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type==svt_chi_transaction::WRITECLEANFULL_CLEANSHAREDPERSISTSEP))?($sformatf(" PGROUP_ID\('h%0h\) DEEP\(%0b\)", xact.pgroup_id, xact.deep)):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_B_ENABLE
            `ifdef SVT_CHI_ISSUE_F_ENABLE
            ((xact != null)?((xact.is_atomicop_xact()==0)?(((xact.xact_type == svt_chi_transaction::CLEANSHARED)||(xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST)||(xact.xact_type == svt_chi_transaction::CLEANINVALIDPOPA)||(xact.xact_type == svt_chi_transaction::CLEANINVALID)||(xact.xact_type == svt_chi_transaction::MAKEINVALID))?$sformatf(" MEM_TYPE\(%0s\)", xact.mem_attr_mem_type.name()):""):($sformatf(" CACHEABLE\(%0b\) MEM_TYPE\(%0s\) EWA \(%0b\) ENDIAN\(%0b\) SNOOPME\(%0b\)",xact.mem_attr_is_cacheable, xact.mem_attr_mem_type.name(), xact.mem_attr_is_early_wr_ack_allowed, xact.endian,xact.snoopme))):""),
            `else
            ((xact != null)?((xact.is_atomicop_xact()==0)?(((xact.xact_type == svt_chi_transaction::CLEANSHARED)||(xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSIST)||(xact.xact_type == svt_chi_transaction::CLEANINVALID)||(xact.xact_type == svt_chi_transaction::MAKEINVALID))?$sformatf(" MEM_TYPE\(%0s\)", xact.mem_attr_mem_type.name()):""):($sformatf(" CACHEABLE\(%0b\) MEM_TYPE\(%0s\) EWA \(%0b\) ENDIAN\(%0b\) SNOOPME\(%0b\)",xact.mem_attr_is_cacheable, xact.mem_attr_mem_type.name(), xact.mem_attr_is_early_wr_ack_allowed, xact.endian,xact.snoopme))):""),
            `endif
            `ifdef SVT_CHI_ISSUE_D_ENABLE
            ((xact != null)?(xact.xact_type == svt_chi_transaction::CLEANSHAREDPERSISTSEP) ? ($sformatf(" PGROUP_ID\('h%0h\) MEM_TYPE\(%0s\) is_comp_received\(%0b\) is_persist_received\(%0b\) is_comppersist_received\(%0b\)",xact.pgroup_id, xact.mem_attr_mem_type.name(),xact.is_comp_received, xact.is_persist_received, xact.is_comppersist_received)):(""):""),
            `else
              "",
            `endif
          `else
            "",
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.cfg.dvm_version_support >= svt_chi_node_configuration::DVM_v8_4 && xact.xact_type==svt_chi_transaction::DVMOP && xact.addr[13:11] == 3'b000)?($sformatf(" IS_TLBI_INVALIDATE_BY_VA_OR_IPA(%0b)", xact.addr[4])):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?(((xact.cfg.chi_node_type == svt_chi_node_configuration::SN || xact.cfg.allow_dmt_from_rn_when_hn_is_absent || xact.cfg.allow_dwt_from_rn_when_hn_is_absent) && (xact.get_semantic()==SVT_CHI_READ_TYPE_SEMANTIC || xact.get_semantic()==SVT_CHI_WRITE_TYPE_SEMANTIC))?($sformatf(" RETURN_NID('h%0h)", xact.return_nid)):""):""),
          `elsif SVT_CHI_ISSUE_B_ENABLE
          ((xact != null)?(((xact.cfg.chi_node_type == svt_chi_node_configuration::SN || xact.cfg.allow_dmt_from_rn_when_hn_is_absent) && (xact.get_semantic()==SVT_CHI_READ_TYPE_SEMANTIC))?($sformatf(" RETURN_NID('h%0h)", xact.return_nid)):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?(((xact.cfg.chi_node_type == svt_chi_node_configuration::SN || xact.cfg.allow_dmt_from_rn_when_hn_is_absent || xact.cfg.allow_dwt_from_rn_when_hn_is_absent) && (xact.get_semantic()==SVT_CHI_READ_TYPE_SEMANTIC || xact.get_semantic()==SVT_CHI_WRITE_TYPE_SEMANTIC))?($sformatf(" RETURN_TXNID('d%0h)", xact.return_txn_id)):""):""),
          `elsif SVT_CHI_ISSUE_B_ENABLE
          ((xact != null)?(((xact.cfg.chi_node_type == svt_chi_node_configuration::SN || xact.cfg.allow_dmt_from_rn_when_hn_is_absent) && (xact.get_semantic()==SVT_CHI_READ_TYPE_SEMANTIC))?($sformatf(" RETURN_TXNID('d%0h)", xact.return_txn_id)):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.cfg.dvm_version_support >= svt_chi_node_configuration::DVM_v8_4 && xact.xact_type==svt_chi_transaction::DVMOP && xact.addr[13:11] == 3'b000 && xact.addr[4] == 1)?($sformatf(" IS_RANGE_BASED_TLBI(%0b)", xact.dvm_range)):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.xact_type == svt_chi_transaction::WRITEEVICTOREVICT)?($sformatf(" IS_LIKELY_SHARED\(%0b\) IS_COMP_RECEIVED\(%0b\) IS_DBID_RECEIVED\(%0b\) ",xact.is_likely_shared, xact.is_comp_received, xact.is_dbid_received)):""):""),
          ((xact != null)?($sformatf(" REQUEST_TAGOP\(%0s\)", xact.req_tag_op.name())):""),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?(((xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALIDPOPA) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALIDPOPA) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH))?($sformatf(" DO_DWT\(%0b\)", xact.do_dwt)):""):""),
          `else
          ((xact != null)?(((xact.xact_type == svt_chi_transaction::WRITENOSNPFULL) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANINVALID) || (xact.xact_type == svt_chi_transaction::WRITENOSNPFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANINVALID) || (xact.xact_type == svt_chi_transaction::WRITENOSNPPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHARED) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEFULLSTASH) || (xact.xact_type == svt_chi_transaction::WRITEUNIQUEPTLSTASH))?($sformatf(" DO_DWT\(%0b\)", xact.do_dwt)):""):""),
          `endif
          ((xact != null && (xact.is_atomicop_xact() == 0) && xact.data_status != svt_chi_transaction::INITIAL)?($sformatf(" DATA_TAGOP\(%0s\)", xact.data_tag_op.name())):""),
          ((xact != null && (xact.xact_type == svt_chi_transaction::MAKEREADUNIQUE) && xact.is_comp_received && xact.data_status == svt_chi_transaction::INITIAL)?($sformatf(" RSP_TAGOP\(%0s\)", xact.rsp_tag_op.name())):""),
          ((xact != null && (xact.is_atomicop_xact() == 1) && xact.atomic_write_data_status != svt_chi_transaction::INITIAL)?($sformatf(" ATOMIC_WRITE_DATA_TAGOP\(%0s\)", xact.atomic_write_data_tag_op.name())):""),
          ((xact != null && (xact.is_atomicop_xact() == 1) && xact.atomic_returned_initial_data_status != svt_chi_transaction::INITIAL)?($sformatf(" ATOMIC_READ_DATA_TAGOP\(%0s\)", xact.atomic_read_data_tag_op.name())):""),
          `else
            "",
            "",
            "",
            "",
            "",
            "",
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_F_ENABLE
            (((xact!=null) && xact.xact_type == svt_chi_transaction::WRITENOSNPDEF && xact.req_status == svt_chi_transaction::ACCEPT)? $sformatf(" RESPONSE(%0s)", xact.deferreable_write_resp.name()): ""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_D_ENABLE
            (((xact!=null) && (xact.cfg!=null) && (xact.cfg.enable_mpam))? $sformatf(" MPAM_PERFMONGROUP('h%0h) MPAM_PARTID('h%0h) MPAM_NS('h%0h)", xact.mpam_perfmongroup, xact.mpam_partid, xact.mpam_ns): ""),
          `else
            "",
          `endif
          ((xact != null)?((xact.get_begin_time()==-1)?"":($sformatf(" START_TIME(%0t)",xact.get_begin_realtime()))):""),
          ((xact != null)?((xact.get_end_time()==-1)?"":($sformatf(" END_TIME(%0t)",xact.get_end_realtime()))):""),
          ((xact != null)?((xact.get_req_accept_realtime()==-1)?"":($sformatf(" REQ_ACCEPT_TIME(%0t)",xact.get_req_accept_realtime()))):""))

 `define SVT_CHI_PRINT_PREFIX1 ( xact )

 `define SVT_CHI_PROT_SVC_PRINT_PREFIX ( xact )

xact.psdisplay_concise()

 `define SVT_CHI_PROTOCOL_LAYER

0

Used to define the layer

 `define SVT_CHI_QOS_WIDTH

4

Width of QoS field

 `define SVT_CHI_READ_FOLLOWED_BY_READ_PATTERN_SEQ

3_0

 `define SVT_CHI_READ_FOLLOWED_BY_WRITE_PATTERN_SEQ

4_0

 `define SVT_CHI_READ_TYPE_SEMANTIC

0

Used to define the kind of semantics used for a transation type

 `define SVT_CHI_REASONABLE_FLIT_BUFFER_SIZE

15

Default flit buffer size for RX*** VC

 `define SVT_CHI_REASONABLE_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT

20

Reasonable value for XACT DAT VC access fail count. This should be >=1 and <= 100

 `define SVT_CHI_REASONABLE_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT

20

Reasonable value for XACT RSP VC access fail count. This should be >=1 and <= 100

 `define SVT_CHI_REORDERING_PRIORITIZED

2

 `define SVT_CHI_REORDERING_RANDOM

1

 `define SVT_CHI_REORDERING_ROUND_ROBIN

0

 `define SVT_CHI_REPLICATED_CHANNELS_INTERNAL_ENABLE

0

 `define SVT_CHI_REQ_ADDR_WIDTH

 `define SVT_CHI_REQ_EP_ORDERING_REQUIRED

 `define SVT_CHI_REQ_FLIT

0

Defines FLIT types, also used by flit_type_enum

 `define SVT_CHI_REQ_FLIT_LSB_ADDRESS

 `define SVT_CHI_REQ_FLIT_LSB_ALLOWRETRY

 `define SVT_CHI_REQ_FLIT_LSB_EXCL_SNPME_CAH

 `define SVT_CHI_REQ_FLIT_LSB_EXPCOMPACK

 `define SVT_CHI_REQ_FLIT_LSB_LIKELYSHARED

 `define SVT_CHI_REQ_FLIT_LSB_LPID_PGROUPID_TAGGROUPID_STASHGROUPID

 `define SVT_CHI_REQ_FLIT_LSB_MEMATTR

 `define SVT_CHI_REQ_FLIT_LSB_MPAM

 `define SVT_CHI_REQ_FLIT_LSB_NS

 `define SVT_CHI_REQ_FLIT_LSB_OPCODE

 `define SVT_CHI_REQ_FLIT_LSB_ORDER

 `define SVT_CHI_REQ_FLIT_LSB_PCRDTYPE

 `define SVT_CHI_REQ_FLIT_LSB_QOS

0

 `define SVT_CHI_REQ_FLIT_LSB_RETURNNID_STASHNID

 `define SVT_CHI_REQ_FLIT_LSB_RETURNTXNID_STASHLPID

 `define SVT_CHI_REQ_FLIT_LSB_RSVDC

 `define SVT_CHI_REQ_FLIT_LSB_SIZE

 `define SVT_CHI_REQ_FLIT_LSB_SNPATTR_DODWT

 `define SVT_CHI_REQ_FLIT_LSB_SRCID

 `define SVT_CHI_REQ_FLIT_LSB_STASHLPID_VALID

 `define SVT_CHI_REQ_FLIT_LSB_STASHNIDVALID_ENDIAN_DEEP_PREFETCHTGTHINT

 `define SVT_CHI_REQ_FLIT_LSB_TGTID

 `define SVT_CHI_REQ_FLIT_LSB_TRACETAG

 `define SVT_CHI_REQ_FLIT_LSB_TXNID

 `define SVT_CHI_REQ_FLIT_MAX_RSVDC_WIDTH

4

This defines the width of RSVDC field width of the TXREQFLIT and RXREQFLIT

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ

3_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

3_2_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

3_2_1

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ

4_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

4_2_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

4_2_1

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ

3_1

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

3_1_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

3_1_1

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ

4_1

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

4_1_2

 `define SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

4_1_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ

1_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

1_2_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

1_2_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ

2_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

2_2_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

2_2_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ

1_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ

1_1_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ

1_1_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ

2_1

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ

2_1_2

 `define SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ

2_1_1

 `define SVT_CHI_REQ_ORDERING_REQUIRED

 `define SVT_CHI_REQ_PACK_UNPACK_WIDTH

 `define SVT_CHI_REQ_RESERVED_STASHLPID_WIDTH


Width of Reserved bits in STASHLPID fields of REQ flit-- applicable for CHI Issue B or later

 `define SVT_CHI_REQ_RSVDC_WIDTH


Width of REQ RSVDC field

 `define SVT_CHI_REQ_USER_EXTN_MAX_WIDTH

0

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICCOMPARE

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_ADD

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_CLR

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_EOR

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SET

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMAX

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMIN

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMAX

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMIN

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_ADD

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_CLR

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_EOR

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SET

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMAX

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMIN

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMAX

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMIN

 `define SVT_CHI_REQ_VC_FLIT_OP_ATOMICSWAP

 `define SVT_CHI_REQ_VC_FLIT_OP_CLEANINVALID

 `define SVT_CHI_REQ_VC_FLIT_OP_CLEANSHARED

 `define SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSIST

 `define SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSISTSEP

 `define SVT_CHI_REQ_VC_FLIT_OP_CLEANUNIQUE

 `define SVT_CHI_REQ_VC_FLIT_OP_DVMOP

 `define SVT_CHI_REQ_VC_FLIT_OP_ECBARRIER

 `define SVT_CHI_REQ_VC_FLIT_OP_EOBARRIER

 `define SVT_CHI_REQ_VC_FLIT_OP_EVICT

 `define SVT_CHI_REQ_VC_FLIT_OP_MAKEINVALID

 `define SVT_CHI_REQ_VC_FLIT_OP_MAKEUNIQUE

 `define SVT_CHI_REQ_VC_FLIT_OP_PCRDRETURN

 `define SVT_CHI_REQ_VC_FLIT_OP_PREFETCHTGT

 `define SVT_CHI_REQ_VC_FLIT_OP_READCLEAN

 `define SVT_CHI_REQ_VC_FLIT_OP_READNOSNP

 `define SVT_CHI_REQ_VC_FLIT_OP_READNOSNPSEP

 `define SVT_CHI_REQ_VC_FLIT_OP_READNOTSHAREDDIRTY

 `define SVT_CHI_REQ_VC_FLIT_OP_READONCE

 `define SVT_CHI_REQ_VC_FLIT_OP_READONCECLEANINVALID

 `define SVT_CHI_REQ_VC_FLIT_OP_READONCEMAKEINVALID

 `define SVT_CHI_REQ_VC_FLIT_OP_READSHARED

 `define SVT_CHI_REQ_VC_FLIT_OP_READSPEC

 `define SVT_CHI_REQ_VC_FLIT_OP_READUNIQUE

 `define SVT_CHI_REQ_VC_FLIT_OP_REQLINKFLIT


Width macros of Opcode fields for different FLIT types are defined in svt_chi_port_defines.svi file. Opcodes used for Request VC FLIT, Request message types.

 `define SVT_CHI_REQ_VC_FLIT_OP_STASHONCESHARED

 `define SVT_CHI_REQ_VC_FLIT_OP_STASHONCEUNIQUE

 `define SVT_CHI_REQ_VC_FLIT_OP_WIDTH

6

Widths of Opcode fields for different FLIT types -- Defined in svt_chi_port_defines.svi file

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKPTL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANFULL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANPTL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEEVICTFULL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULLSTASH

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTL

 `define SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTLSTASH

 `define SVT_CHI_REQFLITV_FOR_PCREDITGRANT_VALID_REF

14

 `define SVT_CHI_REQFLITV_FOR_RETRYACK_VALID_REF

13

 `define SVT_CHI_REQUEST_ACCEPTED

 `define SVT_CHI_RESET_ALL_XACT

1

 `define SVT_CHI_RESP_ERR_STATUS_DATA_ERROR

 `define SVT_CHI_RESP_ERR_STATUS_EXCLUSIVE_OKAY

 `define SVT_CHI_RESP_ERR_STATUS_NON_DATA_ERROR

 `define SVT_CHI_RESP_ERR_STATUS_NORMAL_OKAY


Defines for response error types, also used by resp_err_type_enum

 `define SVT_CHI_RESP_ERR_STATUS_WIDTH

2

Width of ResErr field

 `define SVT_CHI_RESP_WIDTH

3

Width of Response field

 `define SVT_CHI_RETRY_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_2_0

 `define SVT_CHI_RETRY_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_1_0

 `define SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_2_2

 `define SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_1_2

 `define SVT_CHI_RETRY_TRANS_W_SAME_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_2_1

 `define SVT_CHI_RETRY_TRANS_W_SAME_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ

8_1_1

 `define SVT_CHI_RETTOSRC_WIDTH

1

 `define SVT_CHI_RETURN_NID_WIDTH


Width of Return NID field

 `define SVT_CHI_RETURN_TXN_ID_WIDTH


Width of Return Transaction ID field

 `define SVT_CHI_RN

0

Node types

 `define SVT_CHI_RN_CLK

clk

 `define SVT_CHI_RN_IF_HOLD_TIME

0.1

 `define SVT_CHI_RN_IF_SETUP_TIME

0.1

 `define SVT_CHI_RN_MAX_RXDAT_CHANNELS


Maximum number of RXDAT channels allowed at RN

 `define SVT_CHI_RN_MAX_RXRSP_CHANNELS


Maximum number of RXRSP channels allowed at RN

 `define SVT_CHI_RN_MAX_RXSNP_CHANNELS


Maximum number of RXSNP channels allowed at RN

 `define SVT_CHI_RN_MAX_TXDAT_CHANNELS


Maximum number of TXDAT channels allowed at RN

 `define SVT_CHI_RN_MAX_TXREQ_CHANNELS


Maximum number of TXREQ channels allowed at RN

 `define SVT_CHI_RN_MAX_TXRSP_CHANNELS


Maximum number of TXRSP channels allowed at RN

 `define SVT_CHI_RN_RESETN

resetn

 `define SVT_CHI_RN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_rn_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_rn_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_rn_transaction_exception_list instance.

 `define SVT_CHI_RSP_FLIT

1

 `define SVT_CHI_RSP_FLIT_LSB_CBUSY

 `define SVT_CHI_RSP_FLIT_LSB_DBID_PGROUPID

 `define SVT_CHI_RSP_FLIT_LSB_FWDSTATE_DATAPULL

 `define SVT_CHI_RSP_FLIT_LSB_OPCODE

 `define SVT_CHI_RSP_FLIT_LSB_PCRDTYPE

 `define SVT_CHI_RSP_FLIT_LSB_QOS

0

 `define SVT_CHI_RSP_FLIT_LSB_RESP

 `define SVT_CHI_RSP_FLIT_LSB_RESPERR

 `define SVT_CHI_RSP_FLIT_LSB_SRCID

 `define SVT_CHI_RSP_FLIT_LSB_TGTID

 `define SVT_CHI_RSP_FLIT_LSB_TRACETAG

 `define SVT_CHI_RSP_FLIT_LSB_TXNID

 `define SVT_CHI_RSP_PACK_UNPACK_WIDTH

 `define SVT_CHI_RSP_RESERVED_GROUPID_WIDTH


Width of Reserved bits in GROUPID fields of RSP flit -- applicable for CHI Issue D or later

 `define SVT_CHI_RSP_USER_EXTN_MAX_WIDTH

0

 `define SVT_CHI_RSP_VC_FLIT_OP_COMP

 `define SVT_CHI_RSP_VC_FLIT_OP_COMPACK

 `define SVT_CHI_RSP_VC_FLIT_OP_COMPDBIDRESP

 `define SVT_CHI_RSP_VC_FLIT_OP_COMPPERSIST

 `define SVT_CHI_RSP_VC_FLIT_OP_DBIDRESP

 `define SVT_CHI_RSP_VC_FLIT_OP_PCRDGRANT

 `define SVT_CHI_RSP_VC_FLIT_OP_PERSIST

 `define SVT_CHI_RSP_VC_FLIT_OP_READRECEIPT

 `define SVT_CHI_RSP_VC_FLIT_OP_RESPSEPDATA

 `define SVT_CHI_RSP_VC_FLIT_OP_RETRYACK

 `define SVT_CHI_RSP_VC_FLIT_OP_RSPLINKFLIT


Opcodes used for Response VC FLIT

 `define SVT_CHI_RSP_VC_FLIT_OP_SNPRESP

 `define SVT_CHI_RSP_VC_FLIT_OP_SNPRESPFWDED

 `define SVT_CHI_RSP_VC_FLIT_OP_WIDTH

4

 `define SVT_CHI_RSPFLITV_FOR_PCREDITGRANT_TO_RETRYACK_VALID_REF

16

 `define SVT_CHI_RSPFLITV_FOR_RETRYACK_TO_PCREDITGRANT_VALID_REF

15

 `define SVT_CHI_RXLA_ACK_ASSERTION_MAX_DELAY

250

Max delay value for the assertion of RXLINKACTIVEACk

 `define SVT_CHI_RXLA_ACK_ASSERTION_MIN_DELAY

0

Min delay value for the assertion of RXLINKACTIVEACk

 `define SVT_CHI_RXLA_ACK_DEASSERTION_MAX_DELAY

250

Max delay value for the deassertion of RXLINKACTIVEACk

 `define SVT_CHI_RXLA_ACK_DEASSERTION_MIN_DELAY

0

Min delay value for the deassertion of RXLINKACTIVEACk

 `define SVT_CHI_RXLA_ACTIVATE_STATE

1

 `define SVT_CHI_RXLA_DEACTIVATE_STATE

3

 `define SVT_CHI_RXLA_RUN_STATE

2

 `define SVT_CHI_RXLA_STOP_STATE

0

Used to indicate the status of the RX LINKACTIVE Interface state machine.

 `define SVT_CHI_RXRSPFLITV_VALID_REF

2

 `define SVT_CHI_RXSNPFLITV_VALID_REF

7

 `define SVT_CHI_SCENARIO_GEN_SOURCE

2

 `define SVT_CHI_SIMPLE_RESPONSE_GEN_SOURCE

4

 `define SVT_CHI_SIZE_WIDTH

3

Width of Size field

 `define SVT_CHI_SN

1

 `define SVT_CHI_SN_CLK

clk

 `define SVT_CHI_SN_IF_HOLD_TIME

0.1

 `define SVT_CHI_SN_IF_SETUP_TIME

0.1

 `define SVT_CHI_SN_MAX_RXDAT_CHANNELS


Maximum number of RXDAT channels allowed at SN

 `define SVT_CHI_SN_MAX_RXREQ_CHANNELS


Maximum number of RXREQ channels allowed at SN

 `define SVT_CHI_SN_MAX_TXDAT_CHANNELS


Maximum number of TXDAT channels allowed at SN

 `define SVT_CHI_SN_MAX_TXRSP_CHANNELS


Maximum number of TXRSP channels allowed at SN

 `define SVT_CHI_SN_RESETN

resetn

 `define SVT_CHI_SN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_sn_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_sn_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_sn_transaction_exception_list instance.

 `define SVT_CHI_SNOOP_INIT_STATE_ISUNIQUE ( xact )


xact.current_state == svt_chi_snoop_transaction::UC ||
    xact.current_state == svt_chi_snoop_transaction::UD ||
    xact.current_state == svt_chi_snoop_transaction::UDP ||
    xact.current_state == svt_chi_snoop_transaction::UCE

 `define SVT_CHI_SNOOP_PRINT_PREFIX ( xact )

 `define SVT_CHI_SNOOP_RESP_ISSHARED ( xact )


xact.final_state == svt_chi_snoop_transaction::SC ||
    xact.final_state == svt_chi_snoop_transaction::SD

 `define SVT_CHI_SNOOP_RESP_ISUNIQUE ( xact )


xact.final_state == svt_chi_snoop_transaction::UC ||
    xact.final_state == svt_chi_snoop_transaction::UD

 `define SVT_CHI_SNOOP_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_snoop_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_snoop_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_snoop_transaction_exception_list instance.

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

3_5_3

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

4_5_3

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

1_5_3

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

2_6_3

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

4_5_4

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

1_5_4

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

2_6_4

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

3_5_1

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

4_5_1

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

1_5_1

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

2_6_1

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

3_5_2

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

4_5_2

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

1_5_2

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

2_6_2

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

3_5

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

4_5

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

1_5

 `define SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ

2_6

 `define SVT_CHI_SNP_ADDR_WIDTH


Width of Addr field of a Snoop Message Request

 `define SVT_CHI_SNP_ATTR_WIDTH

1

Width of Snp Attr field

 `define SVT_CHI_SNP_DOMAIN_INNER

0

Defines for Snoop domain, also used by snoop_domain_type_enum

 `define SVT_CHI_SNP_DOMAIN_OUTER

1

 `define SVT_CHI_SNP_ERR_EXCL_SEQ_FAIL

 `define SVT_CHI_SNP_FLIT

2

 `define SVT_CHI_SNP_FLIT_LSB_ADDR

 `define SVT_CHI_SNP_FLIT_LSB_DONOTGOTOSD

 `define SVT_CHI_SNP_FLIT_LSB_FWDNID_PBHA

 `define SVT_CHI_SNP_FLIT_LSB_FWDTXNID

 `define SVT_CHI_SNP_FLIT_LSB_MPAM

 `define SVT_CHI_SNP_FLIT_LSB_NS

 `define SVT_CHI_SNP_FLIT_LSB_OPCODE

 `define SVT_CHI_SNP_FLIT_LSB_QOS

0

 `define SVT_CHI_SNP_FLIT_LSB_RETTOSRC

 `define SVT_CHI_SNP_FLIT_LSB_SRCID

 `define SVT_CHI_SNP_FLIT_LSB_STASHLPID_VALID

 `define SVT_CHI_SNP_FLIT_LSB_TRACETAG

 `define SVT_CHI_SNP_FLIT_LSB_TXNID

 `define SVT_CHI_SNP_PACK_UNPACK_WIDTH

 `define SVT_CHI_SNP_PRINT_PREFIX ( xact )


$sformatf("{%0s%0sSYS_ID('d%0d) OBJ_NUM('d%0d) NODE_ID('d%0d) %0sTYPE(%0s)%0s TXN_ID('h%0h) QOS('h%0h)%0s ADDR('h%0x)%0s%0s %0sNS(%0b)POISON('h%0h) DATACHECK(%0h)%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s%0s} ",
          (((xact != null) && (xact.object_context != ""))?$sformatf("%0s: ", xact.object_context):""),
          (((xact != null) && (xact.object_info != ""))?$sformatf("OBJ_INFO(%0s) ", xact.object_info):""),
          ((xact != null && xact.cfg!= null && xact.cfg.sys_cfg != null)?xact.cfg.sys_cfg.system_id:-1),
          ((xact != null)?xact.object_num:-1),
          ((xact != null && xact.cfg!= null)?xact.cfg.node_id:-1),
          ((xact != null)?xact.source_target_info:""),
          ((xact != null)?xact.snp_req_msg_type.name:"null"),
          ((xact != null)?((xact.snp_req_msg_type==svt_chi_snoop_transaction::SNPDVMOP)?(xact.is_dvm_msg_type_sync()?" DVM_TYPE(SYNC)":" DVM_TYPE(NON-SYNC)"):""):""),
          ((xact != null)?xact.txn_id:0),
          ((xact != null)?xact.qos:0),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.cah_support == svt_chi_node_configuration::CHI_CAH_SUPPORT_TRUE)?($sformatf(" CAH('b%0b)", xact.cah)):""):""),
          `else
          "",
          `endif
          ((xact != null)?xact.addr:0),
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.pbha_support == svt_chi_node_configuration::CHI_PBHA_SUPPORT_TRUE)?($sformatf(" PBHA('h%0h)", xact.pbha)):""):""),
          `else
          "",
          `endif
          `ifdef SVT_CHI_ISSUE_G_ENABLE
          ((xact != null)?((xact.cfg != null && xact.cfg.mec_support == svt_chi_node_configuration::CHI_MEC_TRUE)?($sformatf(" MECID('h%0h)", xact.mecid)):""):""),
          `else
          "",
          `endif
          `ifdef SVT_CHI_ISSUE_F_ENABLE
          ((xact != null)?$sformatf("NSE(%0b) ", xact.non_secure_ext):""),
          `else
          "",
          `endif
          ((xact != null)?xact.is_non_secure_access:0),
          ((xact != null)?xact.poison:0),
          ((xact != null)?xact.datacheck:0),
          ((xact != null && xact.cfg!= null)?((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B)?($sformatf(" DONOTGOTOSD('b%0b) RET_TO_SRC('b%0b)",xact.do_not_go_to_sd, xact.ret_to_src)):""):""),
          ((xact != null && xact.cfg!= null)?((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B && xact.is_forward_type_snoop())?($sformatf(" FWD_NID('h%0h) FWD_TXN_ID('d%0h)",xact.fwd_nid, xact.fwd_txn_id)):""):""),
          ((xact != null && xact.cfg!= null)?((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B && xact.is_cache_stash_snoop())?($sformatf(" DO_NOT_DATA_PULL('b%0b) DATA_PULL('b%0b) STASH_LPID_VALID(%0b) STASH_LPID('h%0h)",xact.do_not_data_pull, xact.data_pull, xact.stash_lpid_valid, xact.stash_lpid)):""):""),
          ((xact != null && xact.cfg!= null)?((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B && xact.is_cache_stash_snoop() && (xact.data_pull == 1 && (xact.get_end_time()!=-1)))?($sformatf(" DATA_PULL_TXN_ID('h%0h) DATA_PULL_DBID('h%0h) DATA_PULL_FINAL_STATE(%0s)",xact.data_pull_txn_id, xact.data_pull_dbid, xact.data_pull_resp_final_state.name())):""):""),
          ((xact != null && xact.cfg!= null)?((xact.cfg.chi_spec_revision>=svt_chi_node_configuration::ISSUE_B && xact.get_end_time()!=-1)?($sformatf(" DATASOURCE('h%0h)",xact.data_source)):""):""),
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.cfg.dvm_version_support >= svt_chi_node_configuration::DVM_v8_4 && xact.snp_req_msg_type==svt_chi_transaction::SNPDVMOP && xact.snp_dvm_op_p1_payload[13:11] == 3'b000)?($sformatf(" IS_TLBI_INVALIDATE_BY_VA_OR_IPA(%0b)", xact.snp_dvm_op_p1_payload[4])):""):""),
          `else
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_E_ENABLE
          ((xact != null)?((xact.cfg.dvm_version_support >= svt_chi_node_configuration::DVM_v8_4 && xact.snp_req_msg_type==svt_chi_snoop_transaction::SNPDVMOP && xact.snp_dvm_op_p1_payload[13:11] == 3'b000 && xact.snp_dvm_op_p1_payload[4] == 1)?($sformatf(" IS_RANGE_BASED_TLBI(%0b)", xact.dvm_range)):""):""),
          ((xact != null && xact.data_status != svt_chi_snoop_transaction::INITIAL)?($sformatf(" DATA_TAGOP\(%0s\)", xact.data_tag_op)):""),
          ((xact != null && xact.is_forward_type_snoop() && xact.fwded_read_data_status != svt_chi_snoop_transaction::INITIAL)?($sformatf(" FWDED_TAGOP\(%0s\)", xact.fwded_tag_op)):""),
          `else
            "",
            "",
            "",
          `endif
          `ifdef SVT_CHI_ISSUE_D_ENABLE
            (((xact!=null) && (xact.cfg!=null) && (xact.cfg.enable_mpam) && xact.is_cache_stash_snoop())? $sformatf(" MPAM_PERFMONGROUP('h%0h) MPAM_PARTID('h%0h) MPAM_NS('h%0h)", xact.mpam_perfmongroup, xact.mpam_partid, xact.mpam_ns): ""),
          `else
            "",
          `endif
          ((xact != null)?((xact.get_begin_time()==-1)?"":($sformatf(" START_TIME(%0t)",xact.get_begin_realtime()))):""),
          ((xact != null)?((xact.get_end_time()==-1)?"":($sformatf(" END_TIME(%0t)",xact.get_end_realtime()))):""),
          (((xact != null) && (xact.cfg != null) && (xact.cfg.is_user_extension_enabled(svt_chi_node_configuration::USER_EXTN_SNP_USER)))?$sformatf(" USER_EXTN_SNP_USER('h%0h)",xact.user_extn_snp_user):""))

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEAN

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANFWD

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANINVALID

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANSHARED

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPDVMOP

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPLINKFLIT


AMBA CHI SNOOP REQ_MSG TYPE: Used to define type for snoop request messages: Aliased to Snoop VC FLIT Opcodes

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALID

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALIDSTASH

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTY

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTYFWD

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCE

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCEFWD

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHARED

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHAREDFWD

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHSHARED

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHUNIQUE

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUE

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUEFWD

 `define SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUESTASH

 `define SVT_CHI_SNP_RESERVED_STASHLPID_WIDTH


Width of Reserved bits in STASHLPID fields of SNP flit-- applicable for CHI Issue B or later

 `define SVT_CHI_SNP_RESERVED_VMIDEXT_WIDTH


Width of Reserved bits in VMIDEXT fields of SNP flit -- applicable for CHI Issue D or later

 `define SVT_CHI_SNP_USER_EXTN_MAX_WIDTH

(`SVT_CHI_USER_EXTN_MAX_SNP_USER_WIDTH*SVT_CHI_USER_EXTN_SNP_USER_WIDTH_INTERNAL_ENABLE)

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPCLEAN

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANFWD

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANINVALID

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANSHARED

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPDVMOP

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPLINKFLIT


Opcodes used for Snoop VC FLIT, Snoop Request message types

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALID

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALIDSTASH

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTY

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTYFWD

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPONCE

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPONCEFWD

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPSHARED

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPSHAREDFWD

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHSHARED

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHUNIQUE

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUE

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUEFWD

 `define SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUESTASH

 `define SVT_CHI_SNP_VC_FLIT_OP_WIDTH

5

 `define SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MAX_VALUE

20

Macro to control the max value of clk delay for SNPRESP to SNPRESP assertion related link cg

 `define SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MID_BIN_VAL_RANGE

3

Macro to control the number of bins for SNPRESP to SNPRESP assertion related link cg

 `define SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MIN_VALUE

1

Macro to control the min value of clk delay for SNPRESP to SNPRESP assertion related link cg

 `define SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_D

1023

Spec permitted maximum DBID value for ISSUE_D

 `define SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_E

4095

Spec permitted maximum DBID value for ISSUE_E

 `define SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_UPTO_ISSUE_C

255

Spec permitted maximum DBID value upto ISSUE_C

 `define SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_FOR_ISSUE_D

1024

Spec permitted maximum number of outstanding snoop transactions for ISSUE_D

 `define SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_UPTO_ISSUE_C

256

Spec permitted maximum number of outstanding snoop transactions upto ISSUE_C

 `define SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_FOR_ISSUE_D

1024

Spec permitted maximum number of outstanding transactions for ISSUE_D

 `define SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_UPTO_ISSUE_C

256

Spec permitted maximum number of outstanding transactions upto ISSUE_C

 `define SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_D

1023

Spec permitted maximum TxnID value for ISSUE_D

 `define SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_E

4095

Spec permitted maximum TxnID value for ISSUE_E

 `define SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_UPTO_ISSUE_C

255

Spec permitted maximum TxnID value upto ISSUE_C

 `define SVT_CHI_SPEC_REV_ISSUE_A

0

Spec revisions

 `define SVT_CHI_SPEC_REV_ISSUE_B

1

 `define SVT_CHI_SPEC_REV_ISSUE_C

2

 `define SVT_CHI_SPEC_REV_ISSUE_D

3

 `define SVT_CHI_SPEC_REV_ISSUE_E

4

 `define SVT_CHI_SPEC_REV_ISSUE_F

5

 `define SVT_CHI_SPEC_REV_ISSUE_G

6

 `define SVT_CHI_SRC_ID_WIDTH


Width of Source ID field

 `define SVT_CHI_STANDARD_DATA_FORMAT

0

Data formats

 `define SVT_CHI_STASH_LPID_WIDTH

5

Width of Stash related fields

 `define SVT_CHI_STASH_NID_WIDTH


Width of Stash NID field

 `define SVT_CHI_STASHLPIDVALID_WIDTH

1

 `define SVT_CHI_STASHNIDVALID_WIDTH

1

 `define SVT_CHI_STREAMING_ORDERED_WRITENOSNP_TRANSACTIONS

2_7_1

 `define SVT_CHI_STREAMING_ORDERED_WRITEUNIQUE_TRANSACTIONS

2_7_0

 `define SVT_CHI_SUPER_MAX_DAT_FLIT_WIDTH

 `define SVT_CHI_SYS_CFG_ABF_ENABLE

0

Define for controlling the default value of svt_chi_system_configuration :: abf_enable

 `define SVT_CHI_SYS_COV_NUM_BYTE_ENABLE_BINS

16

Used to define the number of bins for byte_enable values in system level covergroup trans_cross_chi_writeuniqueptl_byte_enable_snoop_filter_access.

 `define SVT_CHI_SYSCOACK_ASSERTION_MAX_DELAY

100

Max delay value of SYSCOACK signal to get asserted

 `define SVT_CHI_SYSCOACK_DEASSERTION_MAX_DELAY

100

Max delay value of SYSCOACK signal to get deasserted

 `define SVT_CHI_SYSCOREQ_ASSERTION_MAX_DELAY

100

Max delay value of SYSCOREQ signal to get asserted

 `define SVT_CHI_SYSCOREQ_DEASSERTION_MAX_DELAY

100

Max delay value of SYSCOREQ signal to get deasserted

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_ASSOCIATED_NONSTASH_SNOOP_XACT_TYPE ( weight_val )


associated_nonstash_snoop_xact_type : coverpoint sys_xact.associated_nonstash_snoop_xact_type {
   bins no_snoop_xact_present = {svt_chi_snoop_transaction::SNPSHARED};
   bins snpunique = {svt_chi_snoop_transaction::SNPUNIQUE};
   bins snpmakeinvalid = {svt_chi_snoop_transaction::SNPMAKEINVALID};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

associated_nonstash_snoop_xact_type : Captures associated non-stash type snoop transaction types

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS


bins atomicstore_add_xact = {svt_chi_transaction::ATOMICSTORE_ADD};
      bins atomicstore_clr_xact = {svt_chi_transaction::ATOMICSTORE_CLR};
      bins atomicstore_eor_xact = {svt_chi_transaction::ATOMICSTORE_EOR};
      bins atomicstore_set_xact = {svt_chi_transaction::ATOMICSTORE_SET};
      bins atomicstore_smax_xact = {svt_chi_transaction::ATOMICSTORE_SMAX};
      bins atomicstore_smin_xact = {svt_chi_transaction::ATOMICSTORE_SMIN};
      bins atomicstore_umax_xact = {svt_chi_transaction::ATOMICSTORE_UMAX};
      bins atomicstore_umin_xact = {svt_chi_transaction::ATOMICSTORE_UMIN};
      bins atomicload_add_xact = {svt_chi_transaction::ATOMICLOAD_ADD};
      bins atomicload_clr_xact = {svt_chi_transaction::ATOMICLOAD_CLR};
      bins atomicload_eor_xact = {svt_chi_transaction::ATOMICLOAD_EOR};
      bins atomicload_set_xact = {svt_chi_transaction::ATOMICLOAD_SET};
      bins atomicload_smax_xact = {svt_chi_transaction::ATOMICLOAD_SMAX};
      bins atomicload_smin_xact = {svt_chi_transaction::ATOMICLOAD_SMIN};
      bins atomicload_umax_xact = {svt_chi_transaction::ATOMICLOAD_UMAX};
      bins atomicload_umin_xact = {svt_chi_transaction::ATOMICLOAD_UMIN};
      bins atomicswap_xact = {svt_chi_transaction::ATOMICSWAP};
      bins atomiccompare_xact = {svt_chi_transaction::ATOMICCOMPARE};

Bins for coherent atomic xact type on RN-F/RN-I/RN-D ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT1 ( weight_val )


coherent_atomic_xact_on_rn_f_port1: coverpoint coherent_atomic_xact_on_rn_f_port1 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_atomic_xact_on_rn_f_port1 : Captures opcodes for coherent atomic transaction type from RN-F port1

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT2 ( weight_val )


coherent_atomic_xact_on_rn_f_port2: coverpoint coherent_atomic_xact_on_rn_f_port2 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_atomic_xact_on_rn_f_port2 : Captures opcodes for coherent atomic transaction type from RN-F port2

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORTS ( weight_val )


coherent_atomic_xact_on_rn_f_ports: coverpoint coherent_atomic_xact_on_rn_f_ports {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_atomic_xact_on_rn_f_ports : Captures opcodes for coherent atomic transaction type from RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_I_PORTS ( weight_val )


coherent_atomic_xact_on_rn_i_ports: coverpoint coherent_atomic_xact_on_rn_i_ports {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_atomic_xact_on_rn_i_ports : Captures opcodes for coherent atomic transaction type from RN-I ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT1 ( weight_val )


coherent_non_atomic_xact_on_rn_f_port1: coverpoint coherent_non_atomic_xact_on_rn_f_port1 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_non_atomic_xact_on_rn_f_port1 : Captures opcodes for coherent non-atomic transaction type from RN-F port1

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT2 ( weight_val )


coherent_non_atomic_xact_on_rn_f_port2: coverpoint coherent_non_atomic_xact_on_rn_f_port2 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_non_atomic_xact_on_rn_f_port2 : Captures opcodes for coherent non-atomic transaction type from RN-F port2

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS ( weight_val )


coherent_non_atomic_xact_on_rn_f_ports: coverpoint coherent_non_atomic_xact_on_rn_f_ports {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_non_atomic_xact_on_rn_f_ports : Captures opcodes for coherent non-atomic transaction type from RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS


bins readonce_xact = {svt_chi_transaction::READONCE} ;
      bins readclean_xact = {svt_chi_transaction::READCLEAN};
      bins readshared_xact = {svt_chi_transaction::READSHARED};
      bins readunique_xact = {svt_chi_transaction::READUNIQUE};
      bins cleanunique_xact = {svt_chi_transaction::CLEANUNIQUE};
      bins makeunique_xact = {svt_chi_transaction::MAKEUNIQUE};
      bins evict_xact = {svt_chi_transaction::EVICT};
      bins cleanshared_xact = {svt_chi_transaction::CLEANSHARED};
      bins cleaninvalid_xact = {svt_chi_transaction::CLEANINVALID};
      bins makeinvalid_xact = {svt_chi_transaction::MAKEINVALID};
      bins writeuniquefull_xact = {svt_chi_transaction::WRITEUNIQUEFULL};
      bins writeuniqueptl_xact = {svt_chi_transaction::WRITEUNIQUEPTL};
      bins writebackfull_xact = {svt_chi_transaction::WRITEBACKFULL};
      bins writebackptl_xact = {svt_chi_transaction::WRITEBACKPTL};
      bins writeevictfull_xact = {svt_chi_transaction::WRITEEVICTFULL};
      bins writecleanfull_xact = {svt_chi_transaction::WRITECLEANFULL};
    `ifdef SVT_CHI_ISSUE_B_ENABLE
      bins readoncecleaninvalid_xact = {svt_chi_transaction::READONCECLEANINVALID};
      bins readoncemakeinvalid_xact = {svt_chi_transaction::READONCEMAKEINVALID};
      bins readnotshareddirty_xact = {svt_chi_transaction::READNOTSHAREDDIRTY};
      bins cleansharedpersist_xact = {svt_chi_transaction::CLEANSHAREDPERSIST};
      bins prefetchtgt_xact = {svt_chi_transaction::PREFETCHTGT};
    `endif

Bins for coherent non-atomic xact type on RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT1 ( weight_val )


coherent_xact_on_rn_f_port1: coverpoint coherent_xact_on_rn_f_port1 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_xact_on_rn_f_port1 : Captures opcodes for coherent transaction type from RN-F port1

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT2 ( weight_val )


coherent_xact_on_rn_f_port2: coverpoint coherent_xact_on_rn_f_port2 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_xact_on_rn_f_port2 : Captures opcodes for coherent transaction type from RN-F port2

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS ( weight_val )


coherent_xact_on_rn_f_ports: coverpoint coherent_xact_on_rn_f_ports {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_xact_on_rn_f_ports : Captures opcodes for coherent transaction type from RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS


bins readonce_xact = {svt_chi_transaction::READONCE} ;
      bins readclean_xact = {svt_chi_transaction::READCLEAN};
      bins readshared_xact = {svt_chi_transaction::READSHARED};
      bins readunique_xact = {svt_chi_transaction::READUNIQUE};
      bins cleanunique_xact = {svt_chi_transaction::CLEANUNIQUE};
      bins makeunique_xact = {svt_chi_transaction::MAKEUNIQUE};
      bins evict_xact = {svt_chi_transaction::EVICT};
      bins cleanshared_xact = {svt_chi_transaction::CLEANSHARED};
      bins cleaninvalid_xact = {svt_chi_transaction::CLEANINVALID};
      bins makeinvalid_xact = {svt_chi_transaction::MAKEINVALID};
      bins writeuniquefull_xact = {svt_chi_transaction::WRITEUNIQUEFULL};
      bins writeuniqueptl_xact = {svt_chi_transaction::WRITEUNIQUEPTL};
      bins writebackfull_xact = {svt_chi_transaction::WRITEBACKFULL};
      bins writebackptl_xact = {svt_chi_transaction::WRITEBACKPTL};
      bins writeevictfull_xact = {svt_chi_transaction::WRITEEVICTFULL};
      bins writecleanfull_xact = {svt_chi_transaction::WRITECLEANFULL};
    `ifdef SVT_CHI_ISSUE_B_ENABLE
      bins readoncecleaninvalid_xact = {svt_chi_transaction::READONCECLEANINVALID};
      bins readoncemakeinvalid_xact = {svt_chi_transaction::READONCEMAKEINVALID};
      bins readnotshareddirty_xact = {svt_chi_transaction::READNOTSHAREDDIRTY};
      bins cleansharedpersist_xact = {svt_chi_transaction::CLEANSHAREDPERSIST};
      bins atomicstore_add_xact = {svt_chi_transaction::ATOMICSTORE_ADD};
      bins atomicstore_clr_xact = {svt_chi_transaction::ATOMICSTORE_CLR};
      bins atomicstore_eor_xact = {svt_chi_transaction::ATOMICSTORE_EOR};
      bins atomicstore_set_xact = {svt_chi_transaction::ATOMICSTORE_SET};
      bins atomicstore_smax_xact = {svt_chi_transaction::ATOMICSTORE_SMAX};
      bins atomicstore_smin_xact = {svt_chi_transaction::ATOMICSTORE_SMIN};
      bins atomicstore_umax_xact = {svt_chi_transaction::ATOMICSTORE_UMAX};
      bins atomicstore_umin_xact = {svt_chi_transaction::ATOMICSTORE_UMIN};
      bins atomicload_add_xact = {svt_chi_transaction::ATOMICLOAD_ADD};
      bins atomicload_clr_xact = {svt_chi_transaction::ATOMICLOAD_CLR};
      bins atomicload_eor_xact = {svt_chi_transaction::ATOMICLOAD_EOR};
      bins atomicload_set_xact = {svt_chi_transaction::ATOMICLOAD_SET};
      bins atomicload_smax_xact = {svt_chi_transaction::ATOMICLOAD_SMAX};
      bins atomicload_smin_xact = {svt_chi_transaction::ATOMICLOAD_SMIN};
      bins atomicload_umax_xact = {svt_chi_transaction::ATOMICLOAD_UMAX};
      bins atomicload_umin_xact = {svt_chi_transaction::ATOMICLOAD_UMIN};
      bins atomicswap_xact = {svt_chi_transaction::ATOMICSWAP};
      bins atomiccompare_xact = {svt_chi_transaction::ATOMICCOMPARE};
      bins prefetchtgt_xact = {svt_chi_transaction::PREFETCHTGT};
    `endif

Bins for coherent xact type on RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_I_PORTS ( weight_val )


coherent_xact_on_rn_i_ports : coverpoint coherent_xact_on_rn_i_ports {
      bins readonce_xact = {svt_chi_transaction::READONCE} ;
      bins cleanshared_xact = {svt_chi_transaction::CLEANSHARED};
      bins cleaninvalid_xact = {svt_chi_transaction::CLEANINVALID};
      bins makeinvalid_xact = {svt_chi_transaction::MAKEINVALID};
      bins writeuniquefull_xact = {svt_chi_transaction::WRITEUNIQUEFULL};
      bins writeuniqueptl_xact = {svt_chi_transaction::WRITEUNIQUEPTL};
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_xact_on_rn_i_ports : Captures coherent transaction types from RN-I/RN-D ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_TYPE ( weight_val )


coherent_xact_type: coverpoint sys_xact.rn_xact.xact_type {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

coherent_xact_type : Captures opcodes for coherent transaction type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_FINAL_CACHE_LINE_STATE ( weight_val )


final_cache_line_state : coverpoint sys_xact.rn_xact.final_cacheline_state {
   bins final_state_invalid = {svt_chi_snoop_transaction::I};
   bins final_state_sharedclean = {svt_chi_snoop_transaction::SC};
   bins final_state_shareddirty = {svt_chi_snoop_transaction::SD};
   bins final_state_uniqueclean = {svt_chi_snoop_transaction::UC};
   bins final_state_uniquedirty = {svt_chi_snoop_transaction::UD};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

final_cache_line_state : Captures final cache line state of RN transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_HOME_NODE_IDX ( weight_val )


home_node_idx : coverpoint hn_node_idx iff(target_id_flag){
     bins hn_idx[] = {[0:(const_sys_cfg_num_hn-1)]};
     option.weight = weight_val;
     type_option.weight = weight_val;
   }

home_node_idx : Captures values of HN indices

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT1 ( weight_val )


non_coherent_xact_on_rn_f_port1: coverpoint non_coherent_xact_on_rn_f_port1 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

non_coherent_xact_on_rn_f_port1 : Captures non-coherent transaction types from RN-F port1

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT2 ( weight_val )


non_coherent_xact_on_rn_f_port2: coverpoint non_coherent_xact_on_rn_f_port2 {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

non_coherent_xact_on_rn_f_port2 : Captures non-coherent transaction types from RN-F port2

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS ( weight_val )


non_coherent_xact_on_rn_f_ports: coverpoint non_coherent_xact_on_rn_f_ports {
      SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

non_coherent_xact_on_rn_f_ports : Captures non-coherent transaction types from RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS


bins readnosnp_xact = {svt_chi_transaction::READNOSNP};
      bins writenosnpfull_xact = {svt_chi_transaction::WRITENOSNPFULL};
      bins writenosnpptl_xact = {svt_chi_transaction::WRITENOSNPPTL};

Bins for non-coherent xact type on RN-F ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_I_PORTS ( weight_val )


non_coherent_xact_on_rn_i_ports : coverpoint non_coherent_xact_on_rn_i_ports{
      bins readnosnp_xact = {svt_chi_transaction::READNOSNP};
      bins writenosnp_xact = {svt_chi_transaction::WRITENOSNPPTL, svt_chi_transaction::WRITENOSNPFULL};
      option.weight = weight_val;
      type_option.weight = weight_val;
    }

non_coherent_xact_on_rn_i_ports : Captures non-coherent transaction types from RN-I/RN-D ports

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNOOP_RESP_HAS_DATA_XFER ( weight_val )


nonstash_snoop_resp_has_data_xfer: coverpoint sys_xact.nonstash_snoop_resp_has_data_xfer {
   bins nonstash_snoop_resp_has_no_data_xfer = {0};
   bins nonstash_snoop_resp_has_data_xfer = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

nonstash_snoop_resp_has_data_xfer : Captures whether non-stash snoop response has data transfer or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNP_RESP_PD ( weight_val )


nonstash_snp_resp_pd: coverpoint sys_xact.nonstash_snoop_resp_PD {
   bins nonstash_snoop_resp_has_no_PD = {0};
   bins nonstash_snoop_resp_has_PD = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

nonstash_snp_resp_pd : Captures whether non-stash snoop response has PassDirty asserted or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASHTYPE_ASSOCIATED_SNP_PRESENT ( weight_val )


nonstashtype_associated_snp_present: coverpoint sys_xact.nonstashtype_associated_snp_present {
   bins nonstashtype_associated_snp_is_not_present = {0};
   bins nonstashtype_associated_snp_present = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

nonstashtype_associated_snp_present : Captures whether non-stash type associated snoop present or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE ( weight_val )


rn_coherent_exclusive_read_xact_response : coverpoint sys_xact_read_resp_err {
    bins normal_okay_resp_err = {svt_chi_transaction::NORMAL_OKAY};
    bins excl_okay_resp_err = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins data_error_resp_err = {svt_chi_transaction::DATA_ERROR};
    bins non_data_error_resp_err = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_coherent_exclusive_read_xact_response : This coverpoint captures RN coherent exclusive transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE ( weight_val )


rn_coherent_exclusive_write_xact_response : coverpoint sys_xact.rn_xact.response_resp_err_status {
    bins normal_okay_resp_err = {svt_chi_transaction::NORMAL_OKAY};
    bins excl_okay_resp_err = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins data_error_resp_err = {svt_chi_transaction::DATA_ERROR};
    bins non_data_error_resp_err = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_coherent_exclusive_write_xact_response : This coverpoint captures RN coherent exclusive transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE ( weight_val )


rn_coherent_exclusive_write_xact_type : coverpoint sys_xact.rn_xact.xact_type {
    bins cleanunique = {svt_chi_transaction::CLEANUNIQUE};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_coherent_exclusive_write_xact_type : This coverpoint captures RN coherent exclusive transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_READ_XACT_ASSOC_SNP_XACT_TYPE ( weight_val )


rn_excl_read_xact_assoc_snp_xact_type : coverpoint sys_xact_snp_xact_type {
    bins snpshared = {svt_chi_snoop_transaction::SNPSHARED};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_excl_read_xact_assoc_snp_xact_type : This coverpoint captures snoop transaction associated for an RN coherent exclusive read transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_WRITE_XACT_ASSOC_SNP_XACT_TYPE ( weight_val )


rn_excl_write_xact_assoc_snp_xact_type : coverpoint sys_xact_snp_xact_type {
    bins snpcleaninvalid = {svt_chi_snoop_transaction::SNPCLEANINVALID};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_excl_write_xact_assoc_snp_xact_type : This coverpoint captures snoop transaction associated for an RN coherent exclusive write transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_COHERENT_EXCLUSIVE_READ_XACT_TYPE ( weight_val )


rn_f_coherent_exclusive_read_xact_type : coverpoint sys_xact.rn_xact.xact_type {
    bins readclean = {svt_chi_transaction::READCLEAN};
    bins readshared = {svt_chi_transaction::READSHARED};
    bins readnotshareddirty = {svt_chi_transaction::READNOTSHAREDDIRTY};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_coherent_exclusive_read_xact_type : This coverpoint captures RN-F coherent exclusive read transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE ( weight_val )


rn_f_port1_coherent_exclusive_read_xact_response : coverpoint sys_xact_rn_f_port1_read_resp_err {
    bins rn_f_port1_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_f_port1_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_f_port1_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_f_port1_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port1_coherent_exclusive_read_xact_response : This coverpoint captures RN-F coherent exclusive read transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE ( weight_val )


rn_f_port1_coherent_exclusive_read_xact_type : coverpoint cov_rn_f_port1_coherent_exclusive_read_xact_type {
    bins rn_f_port1_readclean = {svt_chi_transaction::READCLEAN};
    bins rn_f_port1_readshared = {svt_chi_transaction::READSHARED};
    bins rn_f_port1_readnotshareddirty = {svt_chi_transaction::READNOTSHAREDDIRTY};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port1_coherent_exclusive_read_xact_type : This coverpoint captures RN-F coherent exclusive read transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE ( weight_val )


rn_f_port1_coherent_exclusive_write_xact_response : coverpoint sys_xact_rn_f_port1_write_resp_err {
    bins rn_f_port1_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_f_port1_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_f_port1_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_f_port1_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port1_coherent_exclusive_write_xact_response : This coverpoint captures RN-F coherent exclusive write transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE ( weight_val )


rn_f_port1_coherent_exclusive_write_xact_type : coverpoint cov_rn_f_port1_coherent_exclusive_write_xact_type {
    bins rn_f_port1_cleanunique = {svt_chi_transaction::CLEANUNIQUE};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port1_coherent_exclusive_write_xact_type : This coverpoint captures RN-F coherent exclusive write transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE ( weight_val )


rn_f_port2_coherent_exclusive_read_xact_response : coverpoint sys_xact_rn_f_port2_read_resp_err {
    bins rn_f_port2_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_f_port2_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_f_port2_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_f_port2_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port2_coherent_exclusive_read_xact_response : This coverpoint captures RN-F coherent exclusive read transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_TYPE ( weight_val )


rn_f_port2_coherent_exclusive_read_xact_type : coverpoint cov_rn_f_port2_coherent_exclusive_read_xact_type {
    bins rn_f_port2_readclean = {svt_chi_transaction::READCLEAN};
    bins rn_f_port2_readshared = {svt_chi_transaction::READSHARED};
    bins rn_f_port2_readnotshareddirty = {svt_chi_transaction::READNOTSHAREDDIRTY};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port2_coherent_exclusive_read_xact_type : This coverpoint captures RN-F coherent exclusive read transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE ( weight_val )


rn_f_port2_coherent_exclusive_write_xact_response : coverpoint sys_xact_rn_f_port2_write_resp_err {
    bins rn_f_port2_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_f_port2_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_f_port2_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_f_port2_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port2_coherent_exclusive_write_xact_response : This coverpoint captures RN-F coherent exclusive write transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE ( weight_val )


rn_f_port2_coherent_exclusive_write_xact_type : coverpoint cov_rn_f_port2_coherent_exclusive_write_xact_type {
    bins rn_f_port2_cleanunique = {svt_chi_transaction::CLEANUNIQUE};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_f_port2_coherent_exclusive_write_xact_type : This coverpoint captures RN-F coherent exclusive write transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_COHERENT_EXCLUSIVE_READ_XACT_TYPE ( weight_val )


rn_i_coherent_exclusive_read_xact_type : coverpoint sys_xact.rn_xact.xact_type {
    bins readonce = {svt_chi_transaction::READONCE};
    bins readoncecleaninvalid = {svt_chi_transaction::READONCECLEANINVALID};
    bins readoncemakeinvalid = {svt_chi_transaction::READONCEMAKEINVALID};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_i_coherent_exclusive_read_xact_type : This coverpoint captures RN-I coherent exclusive read transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE ( weight_val )


rn_i_port1_coherent_exclusive_read_xact_response : coverpoint sys_xact_rn_i_port1_read_resp_err {
    bins rn_i_port1_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_i_port1_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_i_port1_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_i_port1_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_i_port1_coherent_exclusive_read_xact_response : This coverpoint captures RN-I/RN-D coherent exclusive read transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE ( weight_val )


rn_i_port1_coherent_exclusive_read_xact_type : coverpoint cov_rn_i_port1_coherent_exclusive_read_xact_type {
    bins rn_i_port1_readonce = {svt_chi_transaction::READONCE};
    bins rn_i_port1_readoncecleaninvalid = {svt_chi_transaction::READONCECLEANINVALID};
    bins rn_i_port1_readoncemakeinvalid = {svt_chi_transaction::READONCEMAKEINVALID};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_i_port1_coherent_exclusive_read_xact_type : This coverpoint captures RN-I/RN-D coherent exclusive read transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE ( weight_val )


rn_i_port1_coherent_exclusive_write_xact_response : coverpoint sys_xact_rn_i_port1_write_resp_err {
    bins rn_i_port1_resp_err_normal_okay = {svt_chi_transaction::NORMAL_OKAY};
    bins rn_i_port1_resp_err_excl_okay = {svt_chi_transaction::EXCLUSIVE_OKAY};
    bins rn_i_port1_resp_err_data_error = {svt_chi_transaction::DATA_ERROR};
    bins rn_i_port1_resp_err_non_data_error = {svt_chi_transaction::NON_DATA_ERROR};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_i_port1_coherent_exclusive_write_xact_response : This coverpoint captures RN-I/RN-D coherent exclusive write transactions response type

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE ( weight_val )


rn_i_port1_coherent_exclusive_write_xact_type : coverpoint cov_rn_i_port1_coherent_exclusive_write_xact_type {
    bins rn_i_port1_cleanunique = {svt_chi_transaction::CLEANUNIQUE};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_i_port1_coherent_exclusive_write_xact_type : This coverpoint captures RN-I/RN-D coherent exclusive write transactions

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_XACT_BYTE_ENABLE_VAL ( weight_val )


rn_xact_byte_enable_val : coverpoint sys_xact.rn_xact.byte_enable {
    bins byte_enable_val[SVT_CHI_SYS_COV_NUM_BYTE_ENABLE_BINS] = {[SVT_CHI_MAX_BE_WIDTH'h0:SVT_CHI_MAX_BE_WIDTH'hFFFF_FFFF_FFFF_FFFF]};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

rn_xact_byte_enable_val : This coverpoint captures byte_enable value of RN transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNOOP_FILTER_ACCESS_STATUS ( weight_val )


snoop_filter_access_status : coverpoint sys_xact.snoop_filter_access_status {
    bins snoop_filter_hit = {svt_chi_system_transaction::SF_HIT};
    bins snoop_filter_miss = {svt_chi_system_transaction::SF_MISS};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

snoop_filter_access_status : This coverpoint captures snoop filter HIT/MISS condition

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_ASSOCIATE ( weight_val )


snp_associate: coverpoint sys_xact.are_associate_snoops_present{
   bins associated_snoop[] = {[0:1]};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

snp_associate : Captures whether there is any associated snoop present or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_DATA_XFER ( weight_val )


snp_data_xfer: coverpoint sys_xact.snoop_resp_has_data_xfer {
   bins snoop_data_transfer[] = {[0:1]};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

snp_data_xfer : Captures whether snoop response has data transfer or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_PTL_DATA_XFER ( weight_val )


snp_ptl_data_xfer: coverpoint sys_xact.snoop_resp_has_partial_data {
   bins snoop_ptl_data_transfer[] = {[0:1]};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

snp_ptl_data_xfer : Captures whether snoop response has partial data transfer or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RESP_PD ( weight_val )


snp_resp_pd: coverpoint sys_xact.snoop_resp_PD {
   bins snoop_rsp_pd[] = {[0:1]};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

snp_resp_pd : Captures whether snoop response has PassDirty asserted or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RSP_FINAL_CACHE_LINE_STATE ( weight_val )


snp_rsp_final_cache_line_state : coverpoint sys_xact.snoop_resp_final_state {
   bins final_state_invalid = {svt_chi_snoop_transaction::I};
   bins final_state_sharedclean = {svt_chi_snoop_transaction::SC};
   bins final_state_shareddirty = {svt_chi_snoop_transaction::SD};
   bins final_state_uniqueclean = {svt_chi_snoop_transaction::UC};
   bins final_state_uniquedirty = {svt_chi_snoop_transaction::UD};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

snp_rsp_final_cache_line_state : Captures final cache line state of snoop response

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_XACT_TYPE ( weight_val )


snp_xact_type : coverpoint sys_xact.associated_snoop_xacts_type {
   bins snponce = {svt_chi_snoop_transaction::SNPONCE};
   bins snpclean = {svt_chi_snoop_transaction::SNPCLEAN};
   bins snpshared = {svt_chi_snoop_transaction::SNPSHARED};
   bins snpunique = {svt_chi_snoop_transaction::SNPUNIQUE};
   bins snpcleanshared = {svt_chi_snoop_transaction::SNPCLEANSHARED};
   bins snpcleaninvalid = {svt_chi_snoop_transaction::SNPCLEANINVALID};
   bins snpmakeinvalid = {svt_chi_snoop_transaction::SNPMAKEINVALID};
 `ifdef SVT_CHI_ISSUE_B_ENABLE
   bins snpnotshareddirty = {svt_chi_snoop_transaction::SNPNOTSHAREDDIRTY};
 `endif
   option.weight = weight_val;
   type_option.weight = weight_val;
  }

snp_xact_type : Captures Snoop transaction type, upto CHI Issue D

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_LPID_VALID ( weight_val )


stash_lpid_valid: coverpoint sys_xact.rn_xact.stash_lpid_valid {
   bins stash_lpid_defined = {1};
   bins stash_lpid_notdefined = {0};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stash_lpid_valid : Captures StashLPID value

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_NID_VALID ( weight_val )


stash_nid_valid: coverpoint sys_xact.rn_xact.stash_nid_valid {
   bins stash_nid_defined = {1};
   bins stash_nid_notdefined = {0};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stash_nid_valid : Captures StashNID Value

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_OPCODE ( weight_val )


stash_opcode : coverpoint sys_xact.rn_xact.xact_type {
   bins stashonceunique = {svt_chi_transaction::STASHONCEUNIQUE};
   bins stashonceshared = {svt_chi_transaction::STASHONCESHARED};
   type_option.weight = weight_val;
   option.weight = weight_val;
 }

stash_opcode : Captures StashOnce* opcodes

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNOOP_RESP_HAS_DATA_XFER ( weight_val )


stash_snoop_resp_has_data_xfer: coverpoint sys_xact.stash_snoop_resp_has_data_xfer {
   bins stash_snoop_resp_has_no_data_xfer = {0};
   bins stash_snoop_resp_has_data_xfer = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stash_snoop_resp_has_data_xfer : Captures whether stash snoop response has data transfer or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_RESP_PD ( weight_val )


stash_snp_resp_pd: coverpoint sys_xact.stash_snoop_resp_PD {
   bins stash_snoop_resp_has_no_PD = {0};
   bins stash_snoop_resp_has_PD = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stash_snp_resp_pd : Captures whether stash snoop response has PassDirty asserted or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_DONOTDATAPULL ( weight_val )


stash_snp_xact_donotdatapull : coverpoint sys_xact.stash_snpreq_donotdatapull {
   bins donotdatapull_zero = {1'b0};
   bins donotdatapull_is_asserted = {1'b1};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

stash_snp_xact_donotdatapull : Captures whether stash snoop transaction has donotdatapull asserted or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_TYPE ( weight_val )


stash_snp_xact_type : coverpoint sys_xact.associated_stashsnoop_xacts_type {
   bins no_stash_snoop_xact_present = {svt_chi_snoop_transaction::SNPSHARED};
   bins snpmakeinvalidstash = {svt_chi_snoop_transaction::SNPMAKEINVALIDSTASH};
   bins snpuniquestash = {svt_chi_snoop_transaction::SNPUNIQUESTASH};
   bins snpstashunique = {svt_chi_snoop_transaction::SNPSTASHUNIQUE};
   bins snpstashshared = {svt_chi_snoop_transaction::SNPSTASHSHARED};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

stash_snp_xact_type : Captures stash snoop transaction types

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL ( weight_val )


stash_snpresp_datapull : coverpoint sys_xact.stash_snpresp_datapull_read_resp {
   bins datapull_is_zero = {3'b0};
   bins datapull_is_asserted = {3'b001};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

stash_snpresp_datapull : Captures whether stasth snoop response has datapull asserted or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_FINAL_STATE ( weight_val )


stash_snpresp_datapull_read_dataresp_final_state : coverpoint sys_xact.stash_snpresp_datapull_read_dataresp_final_state {
   bins stash_datapull_respdata_invalid = {svt_chi_snoop_transaction::I};
   bins stash_datapull_respdata_sharedclean = {svt_chi_snoop_transaction::SC};
   bins stash_datapull_respdata_shareddirty = {svt_chi_snoop_transaction::SD};
   bins stash_datapull_respdata_uniqueclean = {svt_chi_snoop_transaction::UC};
   bins stash_datapull_respdata_uniquedirty = {svt_chi_snoop_transaction::UD};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stash_snpresp_datapull_read_dataresp_final_state : Captures final state of Read Data response, corresponding to Stash Snoop resposne with DataPull asserted

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_DMT_USED ( weight_val )


stash_snpresp_datapull_read_dataresp_is_dmt_used : coverpoint sys_xact.stash_snpresp_datapull_read_dataresp_is_dmt_used {
   bins datapull_resp_either_is_dmt_not_used_or_not_applicable = {0};
   bins datapull_resp_is_dmt_used_asserted = {1};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

stash_snpresp_datapull_read_dataresp_is_dmt_used : Captures whether DMT is used for read data response, when stash snoop response has datapull asserted

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_RESPSEPDATA_DATASEPRESP_FLOW_USED ( weight_val )


stash_snpresp_datapull_read_dataresp_is_respsepdata_datasepresp_flow_used : coverpoint sys_xact.stash_snpresp_datapull_read_dataresp_is_respsepdata_datasepresp_flow_used {
  bins datapull_resp_is_respsepdata_datasepresp_flow_is_either_not_used_or_not_applicable = {0};
  bins datapull_resp_is_respsepdata_datasepresp_flow_used = {1};
  option.weight = weight_val;
  type_option.weight = weight_val;
  }

stash_snpresp_datapull_read_dataresp_is_respsepdata_datasepresp_flow_used : Captures whether seperate response and data flow is used or not for read data, when stash snoop resposne has datapull asserted

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_PASSDIRTY ( weight_val )


stash_snpresp_datapull_read_dataresp_passdirty : coverpoint sys_xact.stash_snpresp_datapull_read_dataresp_passdirty {
   bins datapull_resp_passdirty_is_either_zero_or_not_applicable = {0};
   bins datapull_resp_passdirty_asserted = {1};
   option.weight = weight_val;
   type_option.weight = weight_val;
 }

stash_snpresp_datapull_read_dataresp_passdirty : Captures whether PassDirty is asserted or not for read data response, when stash snoop response has datapull asserted

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCESHARED_OPCODE ( weight_val )


stashonceshared_opcode : coverpoint sys_xact.rn_xact.xact_type {
    bins stashonceshared = {svt_chi_transaction::STASHONCESHARED};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

stashonceshared_opcode : Captures StashOnceShared opcode

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCEUNIQUE_OPCODE ( weight_val )


stashonceunique_opcode : coverpoint sys_xact.rn_xact.xact_type {
    bins stashonceunique = {svt_chi_transaction::STASHONCEUNIQUE};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

stashonceunique_opcode : Captures StashOnceUnique opcode

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHTYPE_ASSOCIATED_SNP_PRESENT ( weight_val )


stashtype_associated_snp_present: coverpoint sys_xact.are_associate_stashsnoops_present {
   bins stashtype_associated_snp_is_not_present = {0};
   bins stashtype_associated_snp_present = {1};
   option.weight = weight_val ;
   type_option.weight = weight_val;
 }

stashtype_associated_snp_present : Captures whether stash type associated snoop is present or not

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_DONOTGOTOSD ( weight_val )


sys_xact_snp_donotgotosd : coverpoint sys_xact_snp_donotgotosd {
    bins snp_donotgotosd_1 = {1};
    bins snp_donotgotosd_0 = {0};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

sys_xact_snp_donotgotosd : This coverpoint captures the DoNotGoToSD attribute of the snoop transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RETTOSRC ( weight_val )


sys_xact_snp_rettosrc : coverpoint sys_xact_snp_rettosrc {
    bins snp_rettosrc_1 = {1};
    bins snp_rettosrc_0 = {0};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

sys_xact_snp_rettosrc : This coverpoint captures the rettosrc attribute of the snoop transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RSP_DATATRANSFER ( weight_val )


sys_xact_snp_rsp_datatransfer : coverpoint sys_xact_snp_rsp_datatransfer {
    bins snp_rsp_datatransfer = {1};
    bins snp_rsp = {0};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

sys_xact_snp_rsp_datatransfer : This coverpoint captures the snp_rsp_datatransfer attribute of the snoop transaction

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEDATACANCEL_OPCODE ( weight_val )


writedatacancel_opcode : coverpoint sys_xact.rn_xact.is_writedatacancel_used_for_write_xact {
    bins write_datacancel_used = {1};
    bins ncbwrdata_used = {0};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

writedatacancel_opcode : Captures

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTL_OPCODE ( weight_val )


writeuniqueptl_opcode : coverpoint sys_xact.rn_xact.xact_type {
    bins writeuniqueptl = {svt_chi_transaction::WRITEUNIQUEPTL};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

writeuniqueptl_opcode : Captures WriteUniquePtl opcode

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTLSTASH_OPCODE ( weight_val )


writeuniqueptlstash_opcode : coverpoint sys_xact.rn_xact.xact_type {
    bins writeuniqueptlstash = {svt_chi_transaction::WRITEUNIQUEPTLSTASH};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

writeuniqueptlstash_opcode : Captures WriteUniquePtlStash opcode

 `define SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUESTASH_OPCODE ( weight_val )


writeuniquestash_opcode : coverpoint sys_xact.rn_xact.xact_type {
    bins writeuniqueptlstash = {svt_chi_transaction::WRITEUNIQUEPTLSTASH};
    bins writeuniquefullstash = {svt_chi_transaction::WRITEUNIQUEFULLSTASH};
    type_option.weight = weight_val;
    option.weight = weight_val;
  }

writeuniquestash_opcode : Captures WriteUnique*Stash opcodes

 `define SVT_CHI_SYSTEM_TRANSACTION_SV

 `define SVT_CHI_TAG_ADDR_WIDTH

0

 `define SVT_CHI_TGT_ID_WIDTH


Width of Target ID field

 `define SVT_CHI_THREE_READ_REQUEST_EP_ORDERING_TRANSACTION_PATTERN_SEQ

7_0_2

 `define SVT_CHI_THREE_READ_REQUEST_ORDERING_TRANSACTION_PATTERN_SEQ

7_0

 `define SVT_CHI_THREE_READ_REQUEST_REQ_ORDERING_TRANSACTION_PATTERN_SEQ

7_0_1

 `define SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_NON_DVMOP_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ

10_6

 `define SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_TLBI_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ

10_4

 `define SVT_CHI_TRACE_TAG_WIDTH

1

 `define SVT_CHI_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS

1

This value is used by the svt_chi_transaction_exception_list constructor to define the initial value for svt_exception_list :: max_num_exceptions. This field is used by the exception list to define the maximum number of exceptions which can be generated for a single transaction. The user testbench can override this constant value to define a different maximum value for use by all svt_chi_transaction_exception_list instances or can change the value of the svt_exception_list :: max_num_exceptions field directly to define a different maximum value for use by that svt_chi_transaction_exception_list instance.

 `define SVT_CHI_TRANSACTION_INTERLEAVE_RANDOM_BLOCK

1

 `define SVT_CHI_TRANSACTION_IS_RESP_OK ( xact )


(
  (
    (xact.get_semantic() == SVT_CHI_WRITE_TYPE_SEMANTIC) &&
    (xact.req_status == svt_chi_transaction::ACCEPT ) &&
    (((xact.cfg.exclusive_access_enable == 1) && (xact.is_exclusive) &&
     (xact.get_resperr_status(svt_chi_transaction::EXCLUSIVE_OKAY,0))) ||
     (((!xact.cfg.exclusive_access_enable) || !(xact.is_exclusive)) &&
     xact.get_resperr_status(svt_chi_transaction::NORMAL_OKAY,0)))
  ) ||
  (
    (xact.get_semantic() == SVT_CHI_READ_TYPE_SEMANTIC) &&
    (xact.req_status == svt_chi_transaction::ACCEPT ) &&
    ((
      (xact.cfg.exclusive_access_enable == 1) && (xact.is_exclusive) &&
      (
       (xact.get_resperr_status(svt_chi_transaction::EXCLUSIVE_OKAY,0))
       `ifdef SVT_CHI_ISSUE_E_ENABLE
       ||((xact.xact_type == svt_chi_transaction::READPREFERUNIQUE || xact.xact_type == svt_chi_transaction::MAKEREADUNIQUE) && (xact.get_resperr_status(svt_chi_transaction::NORMAL_OKAY,0)))
       `endif
      )
     ) ||
     (((!xact.cfg.exclusive_access_enable) || !(xact.is_exclusive)) &&
     xact.get_resperr_status(svt_chi_transaction::NORMAL_OKAY,0)))
  )
)

 `define SVT_CHI_TXDATFLITPEND_VALID_REF

4

 `define SVT_CHI_TXLA_ACTIVATE_STATE

1

 `define SVT_CHI_TXLA_DEACTIVATE_STATE

3

 `define SVT_CHI_TXLA_REQ_ASSERTION_MAX_DELAY

250

Max delay value for the assertion of TXLINKACTIVEREQ

 `define SVT_CHI_TXLA_REQ_ASSERTION_MIN_DELAY

0

Min delay value for the assertion of TXLINKACTIVEREQ

 `define SVT_CHI_TXLA_REQ_DEASSERTION_MAX_DELAY

250

Max delay value for the deassertion of TXLINKACTIVEREQ

 `define SVT_CHI_TXLA_REQ_DEASSERTION_MIN_DELAY

0

Min delay value for the deassertion of TXLINKACTIVEREQ

 `define SVT_CHI_TXLA_RUN_STATE

2

 `define SVT_CHI_TXLA_STOP_STATE

0

Used to indicate the status of the TX LINKACTIVE Interface state machine.

 `define SVT_CHI_TXN_ID_WIDTH


Width of Transaction ID field

 `define SVT_CHI_TXREQFLITPEND_VALID_REF

1

 `define SVT_CHI_TXREQFLITV_FOR_COMP_VALID_REF

10

 `define SVT_CHI_TXREQFLITV_FOR_COMPDATA_VALID_REF

12

 `define SVT_CHI_TXREQFLITV_FOR_COMPDBID_VALID_REF

11

 `define SVT_CHI_TXREQFLITV_FOR_COMPPERSIST_VALID_REF

17

 `define SVT_CHI_TXREQFLITV_FOR_COMPSTASHDONE_VALID_REF

23

 `define SVT_CHI_TXREQFLITV_FOR_DBID_VALID_REF

9

 `define SVT_CHI_TXREQFLITV_FOR_DBIDRESPORD_VALID_REF

19

 `define SVT_CHI_TXREQFLITV_FOR_PERSIST_VALID_REF

18

 `define SVT_CHI_TXREQFLITV_FOR_STASHDONE_VALID_REF

22

 `define SVT_CHI_TXRSPFLITPEND_VALID_REF

6

 `define SVT_CHI_TXRSPFLITV_FOR_COMPTODBID_VALID_REF

12

 `define SVT_CHI_TXRSPFLITV_FOR_COMPTODBIDRESPORD_VALID_REF

20

 `define SVT_CHI_TXRSPFLITV_FOR_COMPTOSTASHDONE_VALID_REF

24

 `define SVT_CHI_TXRSPFLITV_FOR_DBIDRESPORDTOCOMP_VALID_REF

21

 `define SVT_CHI_TXRSPFLITV_FOR_DBIDTOCOMP_VALID_REF

12

 `define SVT_CHI_TXRSPFLITV_FOR_STASHDONETOCOMP_VALID_REF

25

 `define SVT_CHI_TXSNPFLITPEND_VALID_REF

8

 `define SVT_CHI_USER_EXTN_INTERNAL_ENABLE

0

 `define SVT_CHI_USER_EXTN_MAX_PERMITTED_TXN_ID_WIDTH

13

Width of the txn_id SVT_CHI_MAX_TXN_ID_WIDTH is user redefinable field.

 `define SVT_CHI_USER_EXTN_SNP_USER_WIDTH_INTERNAL_ENABLE

0

 `define SVT_CHI_USER_RESPONSE_GEN_SOURCE

7

 `define SVT_CHI_USER_SNOOP_RESPONSE_GEN_SOURCE

1

 `define SVT_CHI_VALID_IDX_NUM_RNS_0


 

 `define SVT_CHI_VALID_IDX_NUM_RNS_1


`define SVT_CHI_VALID_RN_IDX_0

 `define SVT_CHI_VALID_IDX_NUM_RNS_10


SVT_CHI_VALID_IDX_NUM_RNS_9
 `define SVT_CHI_VALID_RN_IDX_9

 `define SVT_CHI_VALID_IDX_NUM_RNS_100


SVT_CHI_VALID_IDX_NUM_RNS_99
 `define SVT_CHI_VALID_RN_IDX_99

 `define SVT_CHI_VALID_IDX_NUM_RNS_101


SVT_CHI_VALID_IDX_NUM_RNS_100
 `define SVT_CHI_VALID_RN_IDX_100

 `define SVT_CHI_VALID_IDX_NUM_RNS_102


SVT_CHI_VALID_IDX_NUM_RNS_101
 `define SVT_CHI_VALID_RN_IDX_101

 `define SVT_CHI_VALID_IDX_NUM_RNS_103


SVT_CHI_VALID_IDX_NUM_RNS_102
 `define SVT_CHI_VALID_RN_IDX_102

 `define SVT_CHI_VALID_IDX_NUM_RNS_104


SVT_CHI_VALID_IDX_NUM_RNS_103
 `define SVT_CHI_VALID_RN_IDX_103

 `define SVT_CHI_VALID_IDX_NUM_RNS_105


SVT_CHI_VALID_IDX_NUM_RNS_104
 `define SVT_CHI_VALID_RN_IDX_104

 `define SVT_CHI_VALID_IDX_NUM_RNS_106


SVT_CHI_VALID_IDX_NUM_RNS_105
 `define SVT_CHI_VALID_RN_IDX_105

 `define SVT_CHI_VALID_IDX_NUM_RNS_107


SVT_CHI_VALID_IDX_NUM_RNS_106
 `define SVT_CHI_VALID_RN_IDX_106

 `define SVT_CHI_VALID_IDX_NUM_RNS_108


SVT_CHI_VALID_IDX_NUM_RNS_107
 `define SVT_CHI_VALID_RN_IDX_107

 `define SVT_CHI_VALID_IDX_NUM_RNS_109


SVT_CHI_VALID_IDX_NUM_RNS_108
 `define SVT_CHI_VALID_RN_IDX_108

 `define SVT_CHI_VALID_IDX_NUM_RNS_11


SVT_CHI_VALID_IDX_NUM_RNS_10
 `define SVT_CHI_VALID_RN_IDX_10

 `define SVT_CHI_VALID_IDX_NUM_RNS_110


SVT_CHI_VALID_IDX_NUM_RNS_109
 `define SVT_CHI_VALID_RN_IDX_109

 `define SVT_CHI_VALID_IDX_NUM_RNS_111


SVT_CHI_VALID_IDX_NUM_RNS_110
 `define SVT_CHI_VALID_RN_IDX_110

 `define SVT_CHI_VALID_IDX_NUM_RNS_112


SVT_CHI_VALID_IDX_NUM_RNS_111
 `define SVT_CHI_VALID_RN_IDX_111

 `define SVT_CHI_VALID_IDX_NUM_RNS_113


SVT_CHI_VALID_IDX_NUM_RNS_112
 `define SVT_CHI_VALID_RN_IDX_112

 `define SVT_CHI_VALID_IDX_NUM_RNS_114


SVT_CHI_VALID_IDX_NUM_RNS_113
 `define SVT_CHI_VALID_RN_IDX_113

 `define SVT_CHI_VALID_IDX_NUM_RNS_115


SVT_CHI_VALID_IDX_NUM_RNS_114
 `define SVT_CHI_VALID_RN_IDX_114

 `define SVT_CHI_VALID_IDX_NUM_RNS_116


SVT_CHI_VALID_IDX_NUM_RNS_115
 `define SVT_CHI_VALID_RN_IDX_115

 `define SVT_CHI_VALID_IDX_NUM_RNS_117


SVT_CHI_VALID_IDX_NUM_RNS_116
 `define SVT_CHI_VALID_RN_IDX_116

 `define SVT_CHI_VALID_IDX_NUM_RNS_118


SVT_CHI_VALID_IDX_NUM_RNS_117
 `define SVT_CHI_VALID_RN_IDX_117

 `define SVT_CHI_VALID_IDX_NUM_RNS_119


SVT_CHI_VALID_IDX_NUM_RNS_118
 `define SVT_CHI_VALID_RN_IDX_118

 `define SVT_CHI_VALID_IDX_NUM_RNS_12


SVT_CHI_VALID_IDX_NUM_RNS_11
 `define SVT_CHI_VALID_RN_IDX_11

 `define SVT_CHI_VALID_IDX_NUM_RNS_120


SVT_CHI_VALID_IDX_NUM_RNS_119
 `define SVT_CHI_VALID_RN_IDX_119

 `define SVT_CHI_VALID_IDX_NUM_RNS_121


SVT_CHI_VALID_IDX_NUM_RNS_120
 `define SVT_CHI_VALID_RN_IDX_120

 `define SVT_CHI_VALID_IDX_NUM_RNS_122


SVT_CHI_VALID_IDX_NUM_RNS_121
 `define SVT_CHI_VALID_RN_IDX_121

 `define SVT_CHI_VALID_IDX_NUM_RNS_123


SVT_CHI_VALID_IDX_NUM_RNS_122
 `define SVT_CHI_VALID_RN_IDX_122

 `define SVT_CHI_VALID_IDX_NUM_RNS_124


SVT_CHI_VALID_IDX_NUM_RNS_123
 `define SVT_CHI_VALID_RN_IDX_123

 `define SVT_CHI_VALID_IDX_NUM_RNS_125


SVT_CHI_VALID_IDX_NUM_RNS_124
 `define SVT_CHI_VALID_RN_IDX_124

 `define SVT_CHI_VALID_IDX_NUM_RNS_126


SVT_CHI_VALID_IDX_NUM_RNS_125
 `define SVT_CHI_VALID_RN_IDX_125

 `define SVT_CHI_VALID_IDX_NUM_RNS_127


SVT_CHI_VALID_IDX_NUM_RNS_126
 `define SVT_CHI_VALID_RN_IDX_126

 `define SVT_CHI_VALID_IDX_NUM_RNS_128


SVT_CHI_VALID_IDX_NUM_RNS_127
 `define SVT_CHI_VALID_RN_IDX_127

 `define SVT_CHI_VALID_IDX_NUM_RNS_129


SVT_CHI_VALID_IDX_NUM_RNS_128
 `define SVT_CHI_VALID_RN_IDX_128

 `define SVT_CHI_VALID_IDX_NUM_RNS_13


SVT_CHI_VALID_IDX_NUM_RNS_12
 `define SVT_CHI_VALID_RN_IDX_12

 `define SVT_CHI_VALID_IDX_NUM_RNS_130


SVT_CHI_VALID_IDX_NUM_RNS_129
 `define SVT_CHI_VALID_RN_IDX_129

 `define SVT_CHI_VALID_IDX_NUM_RNS_131


SVT_CHI_VALID_IDX_NUM_RNS_130
 `define SVT_CHI_VALID_RN_IDX_130

 `define SVT_CHI_VALID_IDX_NUM_RNS_132


SVT_CHI_VALID_IDX_NUM_RNS_131
 `define SVT_CHI_VALID_RN_IDX_131

 `define SVT_CHI_VALID_IDX_NUM_RNS_133


SVT_CHI_VALID_IDX_NUM_RNS_132
 `define SVT_CHI_VALID_RN_IDX_132

 `define SVT_CHI_VALID_IDX_NUM_RNS_134


SVT_CHI_VALID_IDX_NUM_RNS_133
 `define SVT_CHI_VALID_RN_IDX_133

 `define SVT_CHI_VALID_IDX_NUM_RNS_135


SVT_CHI_VALID_IDX_NUM_RNS_134
 `define SVT_CHI_VALID_RN_IDX_134

 `define SVT_CHI_VALID_IDX_NUM_RNS_136


SVT_CHI_VALID_IDX_NUM_RNS_135
 `define SVT_CHI_VALID_RN_IDX_135

 `define SVT_CHI_VALID_IDX_NUM_RNS_137


SVT_CHI_VALID_IDX_NUM_RNS_136
 `define SVT_CHI_VALID_RN_IDX_136

 `define SVT_CHI_VALID_IDX_NUM_RNS_138


SVT_CHI_VALID_IDX_NUM_RNS_137
 `define SVT_CHI_VALID_RN_IDX_137

 `define SVT_CHI_VALID_IDX_NUM_RNS_139


SVT_CHI_VALID_IDX_NUM_RNS_138
 `define SVT_CHI_VALID_RN_IDX_138

 `define SVT_CHI_VALID_IDX_NUM_RNS_14


SVT_CHI_VALID_IDX_NUM_RNS_13
 `define SVT_CHI_VALID_RN_IDX_13

 `define SVT_CHI_VALID_IDX_NUM_RNS_140


SVT_CHI_VALID_IDX_NUM_RNS_139
 `define SVT_CHI_VALID_RN_IDX_139

 `define SVT_CHI_VALID_IDX_NUM_RNS_141


SVT_CHI_VALID_IDX_NUM_RNS_140
 `define SVT_CHI_VALID_RN_IDX_140

 `define SVT_CHI_VALID_IDX_NUM_RNS_142


SVT_CHI_VALID_IDX_NUM_RNS_141
 `define SVT_CHI_VALID_RN_IDX_141

 `define SVT_CHI_VALID_IDX_NUM_RNS_143


SVT_CHI_VALID_IDX_NUM_RNS_142
 `define SVT_CHI_VALID_RN_IDX_142

 `define SVT_CHI_VALID_IDX_NUM_RNS_144


SVT_CHI_VALID_IDX_NUM_RNS_143
 `define SVT_CHI_VALID_RN_IDX_143

 `define SVT_CHI_VALID_IDX_NUM_RNS_145


SVT_CHI_VALID_IDX_NUM_RNS_144
 `define SVT_CHI_VALID_RN_IDX_144

 `define SVT_CHI_VALID_IDX_NUM_RNS_146


SVT_CHI_VALID_IDX_NUM_RNS_145
 `define SVT_CHI_VALID_RN_IDX_145

 `define SVT_CHI_VALID_IDX_NUM_RNS_147


SVT_CHI_VALID_IDX_NUM_RNS_146
 `define SVT_CHI_VALID_RN_IDX_146

 `define SVT_CHI_VALID_IDX_NUM_RNS_148


SVT_CHI_VALID_IDX_NUM_RNS_147
 `define SVT_CHI_VALID_RN_IDX_147

 `define SVT_CHI_VALID_IDX_NUM_RNS_149


SVT_CHI_VALID_IDX_NUM_RNS_148
 `define SVT_CHI_VALID_RN_IDX_148

 `define SVT_CHI_VALID_IDX_NUM_RNS_15


SVT_CHI_VALID_IDX_NUM_RNS_14
 `define SVT_CHI_VALID_RN_IDX_14

 `define SVT_CHI_VALID_IDX_NUM_RNS_150


SVT_CHI_VALID_IDX_NUM_RNS_149
 `define SVT_CHI_VALID_RN_IDX_149

 `define SVT_CHI_VALID_IDX_NUM_RNS_151


SVT_CHI_VALID_IDX_NUM_RNS_150
 `define SVT_CHI_VALID_RN_IDX_150

 `define SVT_CHI_VALID_IDX_NUM_RNS_152


SVT_CHI_VALID_IDX_NUM_RNS_151
 `define SVT_CHI_VALID_RN_IDX_151

 `define SVT_CHI_VALID_IDX_NUM_RNS_153


SVT_CHI_VALID_IDX_NUM_RNS_152
 `define SVT_CHI_VALID_RN_IDX_152

 `define SVT_CHI_VALID_IDX_NUM_RNS_154


SVT_CHI_VALID_IDX_NUM_RNS_153
 `define SVT_CHI_VALID_RN_IDX_153

 `define SVT_CHI_VALID_IDX_NUM_RNS_155


SVT_CHI_VALID_IDX_NUM_RNS_154
 `define SVT_CHI_VALID_RN_IDX_154

 `define SVT_CHI_VALID_IDX_NUM_RNS_156


SVT_CHI_VALID_IDX_NUM_RNS_155
 `define SVT_CHI_VALID_RN_IDX_155

 `define SVT_CHI_VALID_IDX_NUM_RNS_157


SVT_CHI_VALID_IDX_NUM_RNS_156
 `define SVT_CHI_VALID_RN_IDX_156

 `define SVT_CHI_VALID_IDX_NUM_RNS_158


SVT_CHI_VALID_IDX_NUM_RNS_157
 `define SVT_CHI_VALID_RN_IDX_157

 `define SVT_CHI_VALID_IDX_NUM_RNS_159


SVT_CHI_VALID_IDX_NUM_RNS_158
 `define SVT_CHI_VALID_RN_IDX_158

 `define SVT_CHI_VALID_IDX_NUM_RNS_16


SVT_CHI_VALID_IDX_NUM_RNS_15
 `define SVT_CHI_VALID_RN_IDX_15

 `define SVT_CHI_VALID_IDX_NUM_RNS_160


SVT_CHI_VALID_IDX_NUM_RNS_159
 `define SVT_CHI_VALID_RN_IDX_159

 `define SVT_CHI_VALID_IDX_NUM_RNS_161


SVT_CHI_VALID_IDX_NUM_RNS_160
 `define SVT_CHI_VALID_RN_IDX_160

 `define SVT_CHI_VALID_IDX_NUM_RNS_162


SVT_CHI_VALID_IDX_NUM_RNS_161
 `define SVT_CHI_VALID_RN_IDX_161

 `define SVT_CHI_VALID_IDX_NUM_RNS_163


SVT_CHI_VALID_IDX_NUM_RNS_162
 `define SVT_CHI_VALID_RN_IDX_162

 `define SVT_CHI_VALID_IDX_NUM_RNS_164


SVT_CHI_VALID_IDX_NUM_RNS_163
 `define SVT_CHI_VALID_RN_IDX_163

 `define SVT_CHI_VALID_IDX_NUM_RNS_165


SVT_CHI_VALID_IDX_NUM_RNS_164
 `define SVT_CHI_VALID_RN_IDX_164

 `define SVT_CHI_VALID_IDX_NUM_RNS_166


SVT_CHI_VALID_IDX_NUM_RNS_165
 `define SVT_CHI_VALID_RN_IDX_165

 `define SVT_CHI_VALID_IDX_NUM_RNS_167


SVT_CHI_VALID_IDX_NUM_RNS_166
 `define SVT_CHI_VALID_RN_IDX_166

 `define SVT_CHI_VALID_IDX_NUM_RNS_168


SVT_CHI_VALID_IDX_NUM_RNS_167
 `define SVT_CHI_VALID_RN_IDX_167

 `define SVT_CHI_VALID_IDX_NUM_RNS_169


SVT_CHI_VALID_IDX_NUM_RNS_168
 `define SVT_CHI_VALID_RN_IDX_168

 `define SVT_CHI_VALID_IDX_NUM_RNS_17


SVT_CHI_VALID_IDX_NUM_RNS_16
 `define SVT_CHI_VALID_RN_IDX_16

 `define SVT_CHI_VALID_IDX_NUM_RNS_170


SVT_CHI_VALID_IDX_NUM_RNS_169
 `define SVT_CHI_VALID_RN_IDX_169

 `define SVT_CHI_VALID_IDX_NUM_RNS_171


SVT_CHI_VALID_IDX_NUM_RNS_170
 `define SVT_CHI_VALID_RN_IDX_170

 `define SVT_CHI_VALID_IDX_NUM_RNS_172


SVT_CHI_VALID_IDX_NUM_RNS_171
 `define SVT_CHI_VALID_RN_IDX_171

 `define SVT_CHI_VALID_IDX_NUM_RNS_173


SVT_CHI_VALID_IDX_NUM_RNS_172
 `define SVT_CHI_VALID_RN_IDX_172

 `define SVT_CHI_VALID_IDX_NUM_RNS_174


SVT_CHI_VALID_IDX_NUM_RNS_173
 `define SVT_CHI_VALID_RN_IDX_173

 `define SVT_CHI_VALID_IDX_NUM_RNS_175


SVT_CHI_VALID_IDX_NUM_RNS_174
 `define SVT_CHI_VALID_RN_IDX_174

 `define SVT_CHI_VALID_IDX_NUM_RNS_176


SVT_CHI_VALID_IDX_NUM_RNS_175
 `define SVT_CHI_VALID_RN_IDX_175

 `define SVT_CHI_VALID_IDX_NUM_RNS_177


SVT_CHI_VALID_IDX_NUM_RNS_176
 `define SVT_CHI_VALID_RN_IDX_176

 `define SVT_CHI_VALID_IDX_NUM_RNS_178


SVT_CHI_VALID_IDX_NUM_RNS_177
 `define SVT_CHI_VALID_RN_IDX_177

 `define SVT_CHI_VALID_IDX_NUM_RNS_179


SVT_CHI_VALID_IDX_NUM_RNS_178
 `define SVT_CHI_VALID_RN_IDX_178

 `define SVT_CHI_VALID_IDX_NUM_RNS_18


SVT_CHI_VALID_IDX_NUM_RNS_17
 `define SVT_CHI_VALID_RN_IDX_17

 `define SVT_CHI_VALID_IDX_NUM_RNS_180


SVT_CHI_VALID_IDX_NUM_RNS_179
 `define SVT_CHI_VALID_RN_IDX_179

 `define SVT_CHI_VALID_IDX_NUM_RNS_181


SVT_CHI_VALID_IDX_NUM_RNS_180
 `define SVT_CHI_VALID_RN_IDX_180

 `define SVT_CHI_VALID_IDX_NUM_RNS_182


SVT_CHI_VALID_IDX_NUM_RNS_181
 `define SVT_CHI_VALID_RN_IDX_181

 `define SVT_CHI_VALID_IDX_NUM_RNS_183


SVT_CHI_VALID_IDX_NUM_RNS_182
 `define SVT_CHI_VALID_RN_IDX_182

 `define SVT_CHI_VALID_IDX_NUM_RNS_184


SVT_CHI_VALID_IDX_NUM_RNS_183
 `define SVT_CHI_VALID_RN_IDX_183

 `define SVT_CHI_VALID_IDX_NUM_RNS_185


SVT_CHI_VALID_IDX_NUM_RNS_184
 `define SVT_CHI_VALID_RN_IDX_184

 `define SVT_CHI_VALID_IDX_NUM_RNS_186


SVT_CHI_VALID_IDX_NUM_RNS_185
 `define SVT_CHI_VALID_RN_IDX_185

 `define SVT_CHI_VALID_IDX_NUM_RNS_187


SVT_CHI_VALID_IDX_NUM_RNS_186
 `define SVT_CHI_VALID_RN_IDX_186

 `define SVT_CHI_VALID_IDX_NUM_RNS_188


SVT_CHI_VALID_IDX_NUM_RNS_187
 `define SVT_CHI_VALID_RN_IDX_187

 `define SVT_CHI_VALID_IDX_NUM_RNS_189


SVT_CHI_VALID_IDX_NUM_RNS_188
 `define SVT_CHI_VALID_RN_IDX_188

 `define SVT_CHI_VALID_IDX_NUM_RNS_19


SVT_CHI_VALID_IDX_NUM_RNS_18
 `define SVT_CHI_VALID_RN_IDX_18

 `define SVT_CHI_VALID_IDX_NUM_RNS_190


SVT_CHI_VALID_IDX_NUM_RNS_189
 `define SVT_CHI_VALID_RN_IDX_189

 `define SVT_CHI_VALID_IDX_NUM_RNS_191


SVT_CHI_VALID_IDX_NUM_RNS_190
 `define SVT_CHI_VALID_RN_IDX_190

 `define SVT_CHI_VALID_IDX_NUM_RNS_192


SVT_CHI_VALID_IDX_NUM_RNS_191
 `define SVT_CHI_VALID_RN_IDX_191

 `define SVT_CHI_VALID_IDX_NUM_RNS_193


SVT_CHI_VALID_IDX_NUM_RNS_192
 `define SVT_CHI_VALID_RN_IDX_192

 `define SVT_CHI_VALID_IDX_NUM_RNS_194


SVT_CHI_VALID_IDX_NUM_RNS_193
 `define SVT_CHI_VALID_RN_IDX_193

 `define SVT_CHI_VALID_IDX_NUM_RNS_195


SVT_CHI_VALID_IDX_NUM_RNS_194
 `define SVT_CHI_VALID_RN_IDX_194

 `define SVT_CHI_VALID_IDX_NUM_RNS_196


SVT_CHI_VALID_IDX_NUM_RNS_195
 `define SVT_CHI_VALID_RN_IDX_195

 `define SVT_CHI_VALID_IDX_NUM_RNS_197


SVT_CHI_VALID_IDX_NUM_RNS_196
 `define SVT_CHI_VALID_RN_IDX_196

 `define SVT_CHI_VALID_IDX_NUM_RNS_198


SVT_CHI_VALID_IDX_NUM_RNS_197
 `define SVT_CHI_VALID_RN_IDX_197

 `define SVT_CHI_VALID_IDX_NUM_RNS_199


SVT_CHI_VALID_IDX_NUM_RNS_198
 `define SVT_CHI_VALID_RN_IDX_198

 `define SVT_CHI_VALID_IDX_NUM_RNS_2


SVT_CHI_VALID_IDX_NUM_RNS_1
 `define SVT_CHI_VALID_RN_IDX_1

 `define SVT_CHI_VALID_IDX_NUM_RNS_20


SVT_CHI_VALID_IDX_NUM_RNS_19
 `define SVT_CHI_VALID_RN_IDX_19

 `define SVT_CHI_VALID_IDX_NUM_RNS_200


SVT_CHI_VALID_IDX_NUM_RNS_199
 `define SVT_CHI_VALID_RN_IDX_199

 `define SVT_CHI_VALID_IDX_NUM_RNS_201


SVT_CHI_VALID_IDX_NUM_RNS_200
 `define SVT_CHI_VALID_RN_IDX_200

 `define SVT_CHI_VALID_IDX_NUM_RNS_202


SVT_CHI_VALID_IDX_NUM_RNS_201
 `define SVT_CHI_VALID_RN_IDX_201

 `define SVT_CHI_VALID_IDX_NUM_RNS_203


SVT_CHI_VALID_IDX_NUM_RNS_202
 `define SVT_CHI_VALID_RN_IDX_202

 `define SVT_CHI_VALID_IDX_NUM_RNS_204


SVT_CHI_VALID_IDX_NUM_RNS_203
 `define SVT_CHI_VALID_RN_IDX_203

 `define SVT_CHI_VALID_IDX_NUM_RNS_205


SVT_CHI_VALID_IDX_NUM_RNS_204
 `define SVT_CHI_VALID_RN_IDX_204

 `define SVT_CHI_VALID_IDX_NUM_RNS_206


SVT_CHI_VALID_IDX_NUM_RNS_205
 `define SVT_CHI_VALID_RN_IDX_205

 `define SVT_CHI_VALID_IDX_NUM_RNS_207


SVT_CHI_VALID_IDX_NUM_RNS_206
 `define SVT_CHI_VALID_RN_IDX_206

 `define SVT_CHI_VALID_IDX_NUM_RNS_208


SVT_CHI_VALID_IDX_NUM_RNS_207
 `define SVT_CHI_VALID_RN_IDX_207

 `define SVT_CHI_VALID_IDX_NUM_RNS_209


SVT_CHI_VALID_IDX_NUM_RNS_208
 `define SVT_CHI_VALID_RN_IDX_208

 `define SVT_CHI_VALID_IDX_NUM_RNS_21


SVT_CHI_VALID_IDX_NUM_RNS_20
 `define SVT_CHI_VALID_RN_IDX_20

 `define SVT_CHI_VALID_IDX_NUM_RNS_210


SVT_CHI_VALID_IDX_NUM_RNS_209
 `define SVT_CHI_VALID_RN_IDX_209

 `define SVT_CHI_VALID_IDX_NUM_RNS_211


SVT_CHI_VALID_IDX_NUM_RNS_210
 `define SVT_CHI_VALID_RN_IDX_210

 `define SVT_CHI_VALID_IDX_NUM_RNS_212


SVT_CHI_VALID_IDX_NUM_RNS_211
 `define SVT_CHI_VALID_RN_IDX_211

 `define SVT_CHI_VALID_IDX_NUM_RNS_213


SVT_CHI_VALID_IDX_NUM_RNS_212
 `define SVT_CHI_VALID_RN_IDX_212

 `define SVT_CHI_VALID_IDX_NUM_RNS_214


SVT_CHI_VALID_IDX_NUM_RNS_213
 `define SVT_CHI_VALID_RN_IDX_213

 `define SVT_CHI_VALID_IDX_NUM_RNS_215


SVT_CHI_VALID_IDX_NUM_RNS_214
 `define SVT_CHI_VALID_RN_IDX_214

 `define SVT_CHI_VALID_IDX_NUM_RNS_216


SVT_CHI_VALID_IDX_NUM_RNS_215
 `define SVT_CHI_VALID_RN_IDX_215

 `define SVT_CHI_VALID_IDX_NUM_RNS_217


SVT_CHI_VALID_IDX_NUM_RNS_216
 `define SVT_CHI_VALID_RN_IDX_216

 `define SVT_CHI_VALID_IDX_NUM_RNS_218


SVT_CHI_VALID_IDX_NUM_RNS_217
 `define SVT_CHI_VALID_RN_IDX_217

 `define SVT_CHI_VALID_IDX_NUM_RNS_219


SVT_CHI_VALID_IDX_NUM_RNS_218
 `define SVT_CHI_VALID_RN_IDX_218

 `define SVT_CHI_VALID_IDX_NUM_RNS_22


SVT_CHI_VALID_IDX_NUM_RNS_21
 `define SVT_CHI_VALID_RN_IDX_21

 `define SVT_CHI_VALID_IDX_NUM_RNS_220


SVT_CHI_VALID_IDX_NUM_RNS_219
 `define SVT_CHI_VALID_RN_IDX_219

 `define SVT_CHI_VALID_IDX_NUM_RNS_221


SVT_CHI_VALID_IDX_NUM_RNS_220
 `define SVT_CHI_VALID_RN_IDX_220

 `define SVT_CHI_VALID_IDX_NUM_RNS_222


SVT_CHI_VALID_IDX_NUM_RNS_221
 `define SVT_CHI_VALID_RN_IDX_221

 `define SVT_CHI_VALID_IDX_NUM_RNS_223


SVT_CHI_VALID_IDX_NUM_RNS_222
 `define SVT_CHI_VALID_RN_IDX_222

 `define SVT_CHI_VALID_IDX_NUM_RNS_224


SVT_CHI_VALID_IDX_NUM_RNS_223
 `define SVT_CHI_VALID_RN_IDX_223

 `define SVT_CHI_VALID_IDX_NUM_RNS_225


SVT_CHI_VALID_IDX_NUM_RNS_224
 `define SVT_CHI_VALID_RN_IDX_224

 `define SVT_CHI_VALID_IDX_NUM_RNS_226


SVT_CHI_VALID_IDX_NUM_RNS_225
 `define SVT_CHI_VALID_RN_IDX_225

 `define SVT_CHI_VALID_IDX_NUM_RNS_227


SVT_CHI_VALID_IDX_NUM_RNS_226
 `define SVT_CHI_VALID_RN_IDX_226

 `define SVT_CHI_VALID_IDX_NUM_RNS_228


SVT_CHI_VALID_IDX_NUM_RNS_227
 `define SVT_CHI_VALID_RN_IDX_227

 `define SVT_CHI_VALID_IDX_NUM_RNS_229


SVT_CHI_VALID_IDX_NUM_RNS_228
 `define SVT_CHI_VALID_RN_IDX_228

 `define SVT_CHI_VALID_IDX_NUM_RNS_23


SVT_CHI_VALID_IDX_NUM_RNS_22
 `define SVT_CHI_VALID_RN_IDX_22

 `define SVT_CHI_VALID_IDX_NUM_RNS_230


SVT_CHI_VALID_IDX_NUM_RNS_229
 `define SVT_CHI_VALID_RN_IDX_229

 `define SVT_CHI_VALID_IDX_NUM_RNS_231


SVT_CHI_VALID_IDX_NUM_RNS_230
 `define SVT_CHI_VALID_RN_IDX_230

 `define SVT_CHI_VALID_IDX_NUM_RNS_232


SVT_CHI_VALID_IDX_NUM_RNS_231
 `define SVT_CHI_VALID_RN_IDX_231

 `define SVT_CHI_VALID_IDX_NUM_RNS_233


SVT_CHI_VALID_IDX_NUM_RNS_232
 `define SVT_CHI_VALID_RN_IDX_232

 `define SVT_CHI_VALID_IDX_NUM_RNS_234


SVT_CHI_VALID_IDX_NUM_RNS_233
 `define SVT_CHI_VALID_RN_IDX_233

 `define SVT_CHI_VALID_IDX_NUM_RNS_235


SVT_CHI_VALID_IDX_NUM_RNS_234
 `define SVT_CHI_VALID_RN_IDX_234

 `define SVT_CHI_VALID_IDX_NUM_RNS_236


SVT_CHI_VALID_IDX_NUM_RNS_235
 `define SVT_CHI_VALID_RN_IDX_235

 `define SVT_CHI_VALID_IDX_NUM_RNS_237


SVT_CHI_VALID_IDX_NUM_RNS_236
 `define SVT_CHI_VALID_RN_IDX_236

 `define SVT_CHI_VALID_IDX_NUM_RNS_238


SVT_CHI_VALID_IDX_NUM_RNS_237
 `define SVT_CHI_VALID_RN_IDX_237

 `define SVT_CHI_VALID_IDX_NUM_RNS_239


SVT_CHI_VALID_IDX_NUM_RNS_238
 `define SVT_CHI_VALID_RN_IDX_238

 `define SVT_CHI_VALID_IDX_NUM_RNS_24


SVT_CHI_VALID_IDX_NUM_RNS_23
 `define SVT_CHI_VALID_RN_IDX_23

 `define SVT_CHI_VALID_IDX_NUM_RNS_240


SVT_CHI_VALID_IDX_NUM_RNS_239
 `define SVT_CHI_VALID_RN_IDX_239

 `define SVT_CHI_VALID_IDX_NUM_RNS_241


SVT_CHI_VALID_IDX_NUM_RNS_240
 `define SVT_CHI_VALID_RN_IDX_240

 `define SVT_CHI_VALID_IDX_NUM_RNS_242


SVT_CHI_VALID_IDX_NUM_RNS_241
 `define SVT_CHI_VALID_RN_IDX_241

 `define SVT_CHI_VALID_IDX_NUM_RNS_243


SVT_CHI_VALID_IDX_NUM_RNS_242
 `define SVT_CHI_VALID_RN_IDX_242

 `define SVT_CHI_VALID_IDX_NUM_RNS_244


SVT_CHI_VALID_IDX_NUM_RNS_243
 `define SVT_CHI_VALID_RN_IDX_243

 `define SVT_CHI_VALID_IDX_NUM_RNS_245


SVT_CHI_VALID_IDX_NUM_RNS_244
 `define SVT_CHI_VALID_RN_IDX_244

 `define SVT_CHI_VALID_IDX_NUM_RNS_246


SVT_CHI_VALID_IDX_NUM_RNS_245
 `define SVT_CHI_VALID_RN_IDX_245

 `define SVT_CHI_VALID_IDX_NUM_RNS_247


SVT_CHI_VALID_IDX_NUM_RNS_246
 `define SVT_CHI_VALID_RN_IDX_246

 `define SVT_CHI_VALID_IDX_NUM_RNS_248


SVT_CHI_VALID_IDX_NUM_RNS_247
 `define SVT_CHI_VALID_RN_IDX_247

 `define SVT_CHI_VALID_IDX_NUM_RNS_249


SVT_CHI_VALID_IDX_NUM_RNS_248
 `define SVT_CHI_VALID_RN_IDX_248

 `define SVT_CHI_VALID_IDX_NUM_RNS_25


SVT_CHI_VALID_IDX_NUM_RNS_24
 `define SVT_CHI_VALID_RN_IDX_24

 `define SVT_CHI_VALID_IDX_NUM_RNS_250


SVT_CHI_VALID_IDX_NUM_RNS_249
 `define SVT_CHI_VALID_RN_IDX_249

 `define SVT_CHI_VALID_IDX_NUM_RNS_251


SVT_CHI_VALID_IDX_NUM_RNS_250
 `define SVT_CHI_VALID_RN_IDX_250

 `define SVT_CHI_VALID_IDX_NUM_RNS_252


SVT_CHI_VALID_IDX_NUM_RNS_251
 `define SVT_CHI_VALID_RN_IDX_251

 `define SVT_CHI_VALID_IDX_NUM_RNS_253


SVT_CHI_VALID_IDX_NUM_RNS_252
 `define SVT_CHI_VALID_RN_IDX_252

 `define SVT_CHI_VALID_IDX_NUM_RNS_254


SVT_CHI_VALID_IDX_NUM_RNS_253
 `define SVT_CHI_VALID_RN_IDX_253

 `define SVT_CHI_VALID_IDX_NUM_RNS_255


SVT_CHI_VALID_IDX_NUM_RNS_254
 `define SVT_CHI_VALID_RN_IDX_254

 `define SVT_CHI_VALID_IDX_NUM_RNS_256


SVT_CHI_VALID_IDX_NUM_RNS_255
 `define SVT_CHI_VALID_RN_IDX_255

 `define SVT_CHI_VALID_IDX_NUM_RNS_257


SVT_CHI_VALID_IDX_NUM_RNS_256
 `define SVT_CHI_VALID_RN_IDX_256

 `define SVT_CHI_VALID_IDX_NUM_RNS_258


SVT_CHI_VALID_IDX_NUM_RNS_257
 `define SVT_CHI_VALID_RN_IDX_257

 `define SVT_CHI_VALID_IDX_NUM_RNS_259


SVT_CHI_VALID_IDX_NUM_RNS_258
 `define SVT_CHI_VALID_RN_IDX_258

 `define SVT_CHI_VALID_IDX_NUM_RNS_26


SVT_CHI_VALID_IDX_NUM_RNS_25
 `define SVT_CHI_VALID_RN_IDX_25

 `define SVT_CHI_VALID_IDX_NUM_RNS_260


SVT_CHI_VALID_IDX_NUM_RNS_259
 `define SVT_CHI_VALID_RN_IDX_259

 `define SVT_CHI_VALID_IDX_NUM_RNS_261


SVT_CHI_VALID_IDX_NUM_RNS_260
 `define SVT_CHI_VALID_RN_IDX_260

 `define SVT_CHI_VALID_IDX_NUM_RNS_262


SVT_CHI_VALID_IDX_NUM_RNS_261
 `define SVT_CHI_VALID_RN_IDX_261

 `define SVT_CHI_VALID_IDX_NUM_RNS_263


SVT_CHI_VALID_IDX_NUM_RNS_262
 `define SVT_CHI_VALID_RN_IDX_262

 `define SVT_CHI_VALID_IDX_NUM_RNS_264


SVT_CHI_VALID_IDX_NUM_RNS_263
 `define SVT_CHI_VALID_RN_IDX_263

 `define SVT_CHI_VALID_IDX_NUM_RNS_265


SVT_CHI_VALID_IDX_NUM_RNS_264
 `define SVT_CHI_VALID_RN_IDX_264

 `define SVT_CHI_VALID_IDX_NUM_RNS_266


SVT_CHI_VALID_IDX_NUM_RNS_265
 `define SVT_CHI_VALID_RN_IDX_265

 `define SVT_CHI_VALID_IDX_NUM_RNS_267


SVT_CHI_VALID_IDX_NUM_RNS_266
 `define SVT_CHI_VALID_RN_IDX_266

 `define SVT_CHI_VALID_IDX_NUM_RNS_268


SVT_CHI_VALID_IDX_NUM_RNS_267
 `define SVT_CHI_VALID_RN_IDX_267

 `define SVT_CHI_VALID_IDX_NUM_RNS_269


SVT_CHI_VALID_IDX_NUM_RNS_268
 `define SVT_CHI_VALID_RN_IDX_268

 `define SVT_CHI_VALID_IDX_NUM_RNS_27


SVT_CHI_VALID_IDX_NUM_RNS_26
 `define SVT_CHI_VALID_RN_IDX_26

 `define SVT_CHI_VALID_IDX_NUM_RNS_270


SVT_CHI_VALID_IDX_NUM_RNS_269
 `define SVT_CHI_VALID_RN_IDX_269

 `define SVT_CHI_VALID_IDX_NUM_RNS_271


SVT_CHI_VALID_IDX_NUM_RNS_270
 `define SVT_CHI_VALID_RN_IDX_270

 `define SVT_CHI_VALID_IDX_NUM_RNS_272


SVT_CHI_VALID_IDX_NUM_RNS_271
 `define SVT_CHI_VALID_RN_IDX_271

 `define SVT_CHI_VALID_IDX_NUM_RNS_273


SVT_CHI_VALID_IDX_NUM_RNS_272
 `define SVT_CHI_VALID_RN_IDX_272

 `define SVT_CHI_VALID_IDX_NUM_RNS_274


SVT_CHI_VALID_IDX_NUM_RNS_273
 `define SVT_CHI_VALID_RN_IDX_273

 `define SVT_CHI_VALID_IDX_NUM_RNS_275


SVT_CHI_VALID_IDX_NUM_RNS_274
 `define SVT_CHI_VALID_RN_IDX_274

 `define SVT_CHI_VALID_IDX_NUM_RNS_276


SVT_CHI_VALID_IDX_NUM_RNS_275
 `define SVT_CHI_VALID_RN_IDX_275

 `define SVT_CHI_VALID_IDX_NUM_RNS_277


SVT_CHI_VALID_IDX_NUM_RNS_276
 `define SVT_CHI_VALID_RN_IDX_276

 `define SVT_CHI_VALID_IDX_NUM_RNS_278


SVT_CHI_VALID_IDX_NUM_RNS_277
 `define SVT_CHI_VALID_RN_IDX_277

 `define SVT_CHI_VALID_IDX_NUM_RNS_279


SVT_CHI_VALID_IDX_NUM_RNS_278
 `define SVT_CHI_VALID_RN_IDX_278

 `define SVT_CHI_VALID_IDX_NUM_RNS_28


SVT_CHI_VALID_IDX_NUM_RNS_27
 `define SVT_CHI_VALID_RN_IDX_27

 `define SVT_CHI_VALID_IDX_NUM_RNS_280


SVT_CHI_VALID_IDX_NUM_RNS_279
 `define SVT_CHI_VALID_RN_IDX_279

 `define SVT_CHI_VALID_IDX_NUM_RNS_281


SVT_CHI_VALID_IDX_NUM_RNS_280
 `define SVT_CHI_VALID_RN_IDX_280

 `define SVT_CHI_VALID_IDX_NUM_RNS_282


SVT_CHI_VALID_IDX_NUM_RNS_281
 `define SVT_CHI_VALID_RN_IDX_281

 `define SVT_CHI_VALID_IDX_NUM_RNS_283


SVT_CHI_VALID_IDX_NUM_RNS_282
 `define SVT_CHI_VALID_RN_IDX_282

 `define SVT_CHI_VALID_IDX_NUM_RNS_284


SVT_CHI_VALID_IDX_NUM_RNS_283
 `define SVT_CHI_VALID_RN_IDX_283

 `define SVT_CHI_VALID_IDX_NUM_RNS_285


SVT_CHI_VALID_IDX_NUM_RNS_284
 `define SVT_CHI_VALID_RN_IDX_284

 `define SVT_CHI_VALID_IDX_NUM_RNS_286


SVT_CHI_VALID_IDX_NUM_RNS_285
 `define SVT_CHI_VALID_RN_IDX_285

 `define SVT_CHI_VALID_IDX_NUM_RNS_287


SVT_CHI_VALID_IDX_NUM_RNS_286
 `define SVT_CHI_VALID_RN_IDX_286

 `define SVT_CHI_VALID_IDX_NUM_RNS_288


SVT_CHI_VALID_IDX_NUM_RNS_287
 `define SVT_CHI_VALID_RN_IDX_287

 `define SVT_CHI_VALID_IDX_NUM_RNS_289


SVT_CHI_VALID_IDX_NUM_RNS_288
 `define SVT_CHI_VALID_RN_IDX_288

 `define SVT_CHI_VALID_IDX_NUM_RNS_29


SVT_CHI_VALID_IDX_NUM_RNS_28
 `define SVT_CHI_VALID_RN_IDX_28

 `define SVT_CHI_VALID_IDX_NUM_RNS_290


SVT_CHI_VALID_IDX_NUM_RNS_289
 `define SVT_CHI_VALID_RN_IDX_289

 `define SVT_CHI_VALID_IDX_NUM_RNS_291


SVT_CHI_VALID_IDX_NUM_RNS_290
 `define SVT_CHI_VALID_RN_IDX_290

 `define SVT_CHI_VALID_IDX_NUM_RNS_292


SVT_CHI_VALID_IDX_NUM_RNS_291
 `define SVT_CHI_VALID_RN_IDX_291

 `define SVT_CHI_VALID_IDX_NUM_RNS_293


SVT_CHI_VALID_IDX_NUM_RNS_292
 `define SVT_CHI_VALID_RN_IDX_292

 `define SVT_CHI_VALID_IDX_NUM_RNS_294


SVT_CHI_VALID_IDX_NUM_RNS_293
 `define SVT_CHI_VALID_RN_IDX_293

 `define SVT_CHI_VALID_IDX_NUM_RNS_295


SVT_CHI_VALID_IDX_NUM_RNS_294
 `define SVT_CHI_VALID_RN_IDX_294

 `define SVT_CHI_VALID_IDX_NUM_RNS_296


SVT_CHI_VALID_IDX_NUM_RNS_295
 `define SVT_CHI_VALID_RN_IDX_295

 `define SVT_CHI_VALID_IDX_NUM_RNS_297


SVT_CHI_VALID_IDX_NUM_RNS_296
 `define SVT_CHI_VALID_RN_IDX_296

 `define SVT_CHI_VALID_IDX_NUM_RNS_298


SVT_CHI_VALID_IDX_NUM_RNS_297
 `define SVT_CHI_VALID_RN_IDX_297

 `define SVT_CHI_VALID_IDX_NUM_RNS_299


SVT_CHI_VALID_IDX_NUM_RNS_298
 `define SVT_CHI_VALID_RN_IDX_298

 `define SVT_CHI_VALID_IDX_NUM_RNS_3


SVT_CHI_VALID_IDX_NUM_RNS_2
 `define SVT_CHI_VALID_RN_IDX_2

 `define SVT_CHI_VALID_IDX_NUM_RNS_30


SVT_CHI_VALID_IDX_NUM_RNS_29
 `define SVT_CHI_VALID_RN_IDX_29

 `define SVT_CHI_VALID_IDX_NUM_RNS_300


SVT_CHI_VALID_IDX_NUM_RNS_299
 `define SVT_CHI_VALID_RN_IDX_299

 `define SVT_CHI_VALID_IDX_NUM_RNS_301


SVT_CHI_VALID_IDX_NUM_RNS_300
 `define SVT_CHI_VALID_RN_IDX_300

 `define SVT_CHI_VALID_IDX_NUM_RNS_302


SVT_CHI_VALID_IDX_NUM_RNS_301
 `define SVT_CHI_VALID_RN_IDX_301

 `define SVT_CHI_VALID_IDX_NUM_RNS_303


SVT_CHI_VALID_IDX_NUM_RNS_302
 `define SVT_CHI_VALID_RN_IDX_302

 `define SVT_CHI_VALID_IDX_NUM_RNS_304


SVT_CHI_VALID_IDX_NUM_RNS_303
 `define SVT_CHI_VALID_RN_IDX_303

 `define SVT_CHI_VALID_IDX_NUM_RNS_305


SVT_CHI_VALID_IDX_NUM_RNS_304
 `define SVT_CHI_VALID_RN_IDX_304

 `define SVT_CHI_VALID_IDX_NUM_RNS_306


SVT_CHI_VALID_IDX_NUM_RNS_305
 `define SVT_CHI_VALID_RN_IDX_305

 `define SVT_CHI_VALID_IDX_NUM_RNS_307


SVT_CHI_VALID_IDX_NUM_RNS_306
 `define SVT_CHI_VALID_RN_IDX_306

 `define SVT_CHI_VALID_IDX_NUM_RNS_308


SVT_CHI_VALID_IDX_NUM_RNS_307
 `define SVT_CHI_VALID_RN_IDX_307

 `define SVT_CHI_VALID_IDX_NUM_RNS_309


SVT_CHI_VALID_IDX_NUM_RNS_308
 `define SVT_CHI_VALID_RN_IDX_308

 `define SVT_CHI_VALID_IDX_NUM_RNS_31


SVT_CHI_VALID_IDX_NUM_RNS_30
 `define SVT_CHI_VALID_RN_IDX_30

 `define SVT_CHI_VALID_IDX_NUM_RNS_310


SVT_CHI_VALID_IDX_NUM_RNS_309
 `define SVT_CHI_VALID_RN_IDX_309

 `define SVT_CHI_VALID_IDX_NUM_RNS_311


SVT_CHI_VALID_IDX_NUM_RNS_310
 `define SVT_CHI_VALID_RN_IDX_310

 `define SVT_CHI_VALID_IDX_NUM_RNS_312


SVT_CHI_VALID_IDX_NUM_RNS_311
 `define SVT_CHI_VALID_RN_IDX_311

 `define SVT_CHI_VALID_IDX_NUM_RNS_313


SVT_CHI_VALID_IDX_NUM_RNS_312
 `define SVT_CHI_VALID_RN_IDX_312

 `define SVT_CHI_VALID_IDX_NUM_RNS_314


SVT_CHI_VALID_IDX_NUM_RNS_313
 `define SVT_CHI_VALID_RN_IDX_313

 `define SVT_CHI_VALID_IDX_NUM_RNS_315


SVT_CHI_VALID_IDX_NUM_RNS_314
 `define SVT_CHI_VALID_RN_IDX_314

 `define SVT_CHI_VALID_IDX_NUM_RNS_316


SVT_CHI_VALID_IDX_NUM_RNS_315
 `define SVT_CHI_VALID_RN_IDX_315

 `define SVT_CHI_VALID_IDX_NUM_RNS_317


SVT_CHI_VALID_IDX_NUM_RNS_316
 `define SVT_CHI_VALID_RN_IDX_316

 `define SVT_CHI_VALID_IDX_NUM_RNS_318


SVT_CHI_VALID_IDX_NUM_RNS_317
 `define SVT_CHI_VALID_RN_IDX_317

 `define SVT_CHI_VALID_IDX_NUM_RNS_319


SVT_CHI_VALID_IDX_NUM_RNS_318
 `define SVT_CHI_VALID_RN_IDX_318

 `define SVT_CHI_VALID_IDX_NUM_RNS_32


SVT_CHI_VALID_IDX_NUM_RNS_31
 `define SVT_CHI_VALID_RN_IDX_31

 `define SVT_CHI_VALID_IDX_NUM_RNS_320


SVT_CHI_VALID_IDX_NUM_RNS_319
 `define SVT_CHI_VALID_RN_IDX_319

 `define SVT_CHI_VALID_IDX_NUM_RNS_321


SVT_CHI_VALID_IDX_NUM_RNS_320
 `define SVT_CHI_VALID_RN_IDX_320

 `define SVT_CHI_VALID_IDX_NUM_RNS_322


SVT_CHI_VALID_IDX_NUM_RNS_321
 `define SVT_CHI_VALID_RN_IDX_321

 `define SVT_CHI_VALID_IDX_NUM_RNS_323


SVT_CHI_VALID_IDX_NUM_RNS_322
 `define SVT_CHI_VALID_RN_IDX_322

 `define SVT_CHI_VALID_IDX_NUM_RNS_324


SVT_CHI_VALID_IDX_NUM_RNS_323
 `define SVT_CHI_VALID_RN_IDX_323

 `define SVT_CHI_VALID_IDX_NUM_RNS_325


SVT_CHI_VALID_IDX_NUM_RNS_324
 `define SVT_CHI_VALID_RN_IDX_324

 `define SVT_CHI_VALID_IDX_NUM_RNS_326


SVT_CHI_VALID_IDX_NUM_RNS_325
 `define SVT_CHI_VALID_RN_IDX_325

 `define SVT_CHI_VALID_IDX_NUM_RNS_327


SVT_CHI_VALID_IDX_NUM_RNS_326
 `define SVT_CHI_VALID_RN_IDX_326

 `define SVT_CHI_VALID_IDX_NUM_RNS_328


SVT_CHI_VALID_IDX_NUM_RNS_327
 `define SVT_CHI_VALID_RN_IDX_327

 `define SVT_CHI_VALID_IDX_NUM_RNS_329


SVT_CHI_VALID_IDX_NUM_RNS_328
 `define SVT_CHI_VALID_RN_IDX_328

 `define SVT_CHI_VALID_IDX_NUM_RNS_33


SVT_CHI_VALID_IDX_NUM_RNS_32
 `define SVT_CHI_VALID_RN_IDX_32

 `define SVT_CHI_VALID_IDX_NUM_RNS_330


SVT_CHI_VALID_IDX_NUM_RNS_329
 `define SVT_CHI_VALID_RN_IDX_329

 `define SVT_CHI_VALID_IDX_NUM_RNS_331


SVT_CHI_VALID_IDX_NUM_RNS_330
 `define SVT_CHI_VALID_RN_IDX_330

 `define SVT_CHI_VALID_IDX_NUM_RNS_332


SVT_CHI_VALID_IDX_NUM_RNS_331
 `define SVT_CHI_VALID_RN_IDX_331

 `define SVT_CHI_VALID_IDX_NUM_RNS_333


SVT_CHI_VALID_IDX_NUM_RNS_332
 `define SVT_CHI_VALID_RN_IDX_332

 `define SVT_CHI_VALID_IDX_NUM_RNS_334


SVT_CHI_VALID_IDX_NUM_RNS_333
 `define SVT_CHI_VALID_RN_IDX_333

 `define SVT_CHI_VALID_IDX_NUM_RNS_335


SVT_CHI_VALID_IDX_NUM_RNS_334
 `define SVT_CHI_VALID_RN_IDX_334

 `define SVT_CHI_VALID_IDX_NUM_RNS_336


SVT_CHI_VALID_IDX_NUM_RNS_335
 `define SVT_CHI_VALID_RN_IDX_335

 `define SVT_CHI_VALID_IDX_NUM_RNS_337


SVT_CHI_VALID_IDX_NUM_RNS_336
 `define SVT_CHI_VALID_RN_IDX_336

 `define SVT_CHI_VALID_IDX_NUM_RNS_338


SVT_CHI_VALID_IDX_NUM_RNS_337
 `define SVT_CHI_VALID_RN_IDX_337

 `define SVT_CHI_VALID_IDX_NUM_RNS_339


SVT_CHI_VALID_IDX_NUM_RNS_338
 `define SVT_CHI_VALID_RN_IDX_338

 `define SVT_CHI_VALID_IDX_NUM_RNS_34


SVT_CHI_VALID_IDX_NUM_RNS_33
 `define SVT_CHI_VALID_RN_IDX_33

 `define SVT_CHI_VALID_IDX_NUM_RNS_340


SVT_CHI_VALID_IDX_NUM_RNS_339
 `define SVT_CHI_VALID_RN_IDX_339

 `define SVT_CHI_VALID_IDX_NUM_RNS_341


SVT_CHI_VALID_IDX_NUM_RNS_340
 `define SVT_CHI_VALID_RN_IDX_340

 `define SVT_CHI_VALID_IDX_NUM_RNS_342


SVT_CHI_VALID_IDX_NUM_RNS_341
 `define SVT_CHI_VALID_RN_IDX_341

 `define SVT_CHI_VALID_IDX_NUM_RNS_343


SVT_CHI_VALID_IDX_NUM_RNS_342
 `define SVT_CHI_VALID_RN_IDX_342

 `define SVT_CHI_VALID_IDX_NUM_RNS_344


SVT_CHI_VALID_IDX_NUM_RNS_343
 `define SVT_CHI_VALID_RN_IDX_343

 `define SVT_CHI_VALID_IDX_NUM_RNS_345


SVT_CHI_VALID_IDX_NUM_RNS_344
 `define SVT_CHI_VALID_RN_IDX_344

 `define SVT_CHI_VALID_IDX_NUM_RNS_346


SVT_CHI_VALID_IDX_NUM_RNS_345
 `define SVT_CHI_VALID_RN_IDX_345

 `define SVT_CHI_VALID_IDX_NUM_RNS_347


SVT_CHI_VALID_IDX_NUM_RNS_346
 `define SVT_CHI_VALID_RN_IDX_346

 `define SVT_CHI_VALID_IDX_NUM_RNS_348


SVT_CHI_VALID_IDX_NUM_RNS_347
 `define SVT_CHI_VALID_RN_IDX_347

 `define SVT_CHI_VALID_IDX_NUM_RNS_349


SVT_CHI_VALID_IDX_NUM_RNS_348
 `define SVT_CHI_VALID_RN_IDX_348

 `define SVT_CHI_VALID_IDX_NUM_RNS_35


SVT_CHI_VALID_IDX_NUM_RNS_34
 `define SVT_CHI_VALID_RN_IDX_34

 `define SVT_CHI_VALID_IDX_NUM_RNS_350


SVT_CHI_VALID_IDX_NUM_RNS_349
 `define SVT_CHI_VALID_RN_IDX_349

 `define SVT_CHI_VALID_IDX_NUM_RNS_351


SVT_CHI_VALID_IDX_NUM_RNS_350
 `define SVT_CHI_VALID_RN_IDX_350

 `define SVT_CHI_VALID_IDX_NUM_RNS_352


SVT_CHI_VALID_IDX_NUM_RNS_351
 `define SVT_CHI_VALID_RN_IDX_351

 `define SVT_CHI_VALID_IDX_NUM_RNS_353


SVT_CHI_VALID_IDX_NUM_RNS_352
 `define SVT_CHI_VALID_RN_IDX_352

 `define SVT_CHI_VALID_IDX_NUM_RNS_354


SVT_CHI_VALID_IDX_NUM_RNS_353
 `define SVT_CHI_VALID_RN_IDX_353

 `define SVT_CHI_VALID_IDX_NUM_RNS_355


SVT_CHI_VALID_IDX_NUM_RNS_354
 `define SVT_CHI_VALID_RN_IDX_354

 `define SVT_CHI_VALID_IDX_NUM_RNS_356


SVT_CHI_VALID_IDX_NUM_RNS_355
 `define SVT_CHI_VALID_RN_IDX_355

 `define SVT_CHI_VALID_IDX_NUM_RNS_357


SVT_CHI_VALID_IDX_NUM_RNS_356
 `define SVT_CHI_VALID_RN_IDX_356

 `define SVT_CHI_VALID_IDX_NUM_RNS_358


SVT_CHI_VALID_IDX_NUM_RNS_357
 `define SVT_CHI_VALID_RN_IDX_357

 `define SVT_CHI_VALID_IDX_NUM_RNS_359


SVT_CHI_VALID_IDX_NUM_RNS_358
 `define SVT_CHI_VALID_RN_IDX_358

 `define SVT_CHI_VALID_IDX_NUM_RNS_36


SVT_CHI_VALID_IDX_NUM_RNS_35
 `define SVT_CHI_VALID_RN_IDX_35

 `define SVT_CHI_VALID_IDX_NUM_RNS_360


SVT_CHI_VALID_IDX_NUM_RNS_359
 `define SVT_CHI_VALID_RN_IDX_359

 `define SVT_CHI_VALID_IDX_NUM_RNS_361


SVT_CHI_VALID_IDX_NUM_RNS_360
 `define SVT_CHI_VALID_RN_IDX_360

 `define SVT_CHI_VALID_IDX_NUM_RNS_362


SVT_CHI_VALID_IDX_NUM_RNS_361
 `define SVT_CHI_VALID_RN_IDX_361

 `define SVT_CHI_VALID_IDX_NUM_RNS_363


SVT_CHI_VALID_IDX_NUM_RNS_362
 `define SVT_CHI_VALID_RN_IDX_362

 `define SVT_CHI_VALID_IDX_NUM_RNS_364


SVT_CHI_VALID_IDX_NUM_RNS_363
 `define SVT_CHI_VALID_RN_IDX_363

 `define SVT_CHI_VALID_IDX_NUM_RNS_365


SVT_CHI_VALID_IDX_NUM_RNS_364
 `define SVT_CHI_VALID_RN_IDX_364

 `define SVT_CHI_VALID_IDX_NUM_RNS_366


SVT_CHI_VALID_IDX_NUM_RNS_365
 `define SVT_CHI_VALID_RN_IDX_365

 `define SVT_CHI_VALID_IDX_NUM_RNS_367


SVT_CHI_VALID_IDX_NUM_RNS_366
 `define SVT_CHI_VALID_RN_IDX_366

 `define SVT_CHI_VALID_IDX_NUM_RNS_368


SVT_CHI_VALID_IDX_NUM_RNS_367
 `define SVT_CHI_VALID_RN_IDX_367

 `define SVT_CHI_VALID_IDX_NUM_RNS_369


SVT_CHI_VALID_IDX_NUM_RNS_368
 `define SVT_CHI_VALID_RN_IDX_368

 `define SVT_CHI_VALID_IDX_NUM_RNS_37


SVT_CHI_VALID_IDX_NUM_RNS_36
 `define SVT_CHI_VALID_RN_IDX_36

 `define SVT_CHI_VALID_IDX_NUM_RNS_370


SVT_CHI_VALID_IDX_NUM_RNS_369
 `define SVT_CHI_VALID_RN_IDX_369

 `define SVT_CHI_VALID_IDX_NUM_RNS_371


SVT_CHI_VALID_IDX_NUM_RNS_370
 `define SVT_CHI_VALID_RN_IDX_370

 `define SVT_CHI_VALID_IDX_NUM_RNS_372


SVT_CHI_VALID_IDX_NUM_RNS_371
 `define SVT_CHI_VALID_RN_IDX_371

 `define SVT_CHI_VALID_IDX_NUM_RNS_373


SVT_CHI_VALID_IDX_NUM_RNS_372
 `define SVT_CHI_VALID_RN_IDX_372

 `define SVT_CHI_VALID_IDX_NUM_RNS_374


SVT_CHI_VALID_IDX_NUM_RNS_373
 `define SVT_CHI_VALID_RN_IDX_373

 `define SVT_CHI_VALID_IDX_NUM_RNS_375


SVT_CHI_VALID_IDX_NUM_RNS_374
 `define SVT_CHI_VALID_RN_IDX_374

 `define SVT_CHI_VALID_IDX_NUM_RNS_376


SVT_CHI_VALID_IDX_NUM_RNS_375
 `define SVT_CHI_VALID_RN_IDX_375

 `define SVT_CHI_VALID_IDX_NUM_RNS_377


SVT_CHI_VALID_IDX_NUM_RNS_376
 `define SVT_CHI_VALID_RN_IDX_376

 `define SVT_CHI_VALID_IDX_NUM_RNS_378


SVT_CHI_VALID_IDX_NUM_RNS_377
 `define SVT_CHI_VALID_RN_IDX_377

 `define SVT_CHI_VALID_IDX_NUM_RNS_379


SVT_CHI_VALID_IDX_NUM_RNS_378
 `define SVT_CHI_VALID_RN_IDX_378

 `define SVT_CHI_VALID_IDX_NUM_RNS_38


SVT_CHI_VALID_IDX_NUM_RNS_37
 `define SVT_CHI_VALID_RN_IDX_37

 `define SVT_CHI_VALID_IDX_NUM_RNS_380


SVT_CHI_VALID_IDX_NUM_RNS_379
 `define SVT_CHI_VALID_RN_IDX_379

 `define SVT_CHI_VALID_IDX_NUM_RNS_381


SVT_CHI_VALID_IDX_NUM_RNS_380
 `define SVT_CHI_VALID_RN_IDX_380

 `define SVT_CHI_VALID_IDX_NUM_RNS_382


SVT_CHI_VALID_IDX_NUM_RNS_381
 `define SVT_CHI_VALID_RN_IDX_381

 `define SVT_CHI_VALID_IDX_NUM_RNS_383


SVT_CHI_VALID_IDX_NUM_RNS_382
 `define SVT_CHI_VALID_RN_IDX_382

 `define SVT_CHI_VALID_IDX_NUM_RNS_384


SVT_CHI_VALID_IDX_NUM_RNS_383
 `define SVT_CHI_VALID_RN_IDX_383

 `define SVT_CHI_VALID_IDX_NUM_RNS_385


SVT_CHI_VALID_IDX_NUM_RNS_384
 `define SVT_CHI_VALID_RN_IDX_384

 `define SVT_CHI_VALID_IDX_NUM_RNS_386


SVT_CHI_VALID_IDX_NUM_RNS_385
 `define SVT_CHI_VALID_RN_IDX_385

 `define SVT_CHI_VALID_IDX_NUM_RNS_387


SVT_CHI_VALID_IDX_NUM_RNS_386
 `define SVT_CHI_VALID_RN_IDX_386

 `define SVT_CHI_VALID_IDX_NUM_RNS_388


SVT_CHI_VALID_IDX_NUM_RNS_387
 `define SVT_CHI_VALID_RN_IDX_387

 `define SVT_CHI_VALID_IDX_NUM_RNS_389


SVT_CHI_VALID_IDX_NUM_RNS_388
 `define SVT_CHI_VALID_RN_IDX_388

 `define SVT_CHI_VALID_IDX_NUM_RNS_39


SVT_CHI_VALID_IDX_NUM_RNS_38
 `define SVT_CHI_VALID_RN_IDX_38

 `define SVT_CHI_VALID_IDX_NUM_RNS_390


SVT_CHI_VALID_IDX_NUM_RNS_389
 `define SVT_CHI_VALID_RN_IDX_389

 `define SVT_CHI_VALID_IDX_NUM_RNS_391


SVT_CHI_VALID_IDX_NUM_RNS_390
 `define SVT_CHI_VALID_RN_IDX_390

 `define SVT_CHI_VALID_IDX_NUM_RNS_392


SVT_CHI_VALID_IDX_NUM_RNS_391
 `define SVT_CHI_VALID_RN_IDX_391

 `define SVT_CHI_VALID_IDX_NUM_RNS_393


SVT_CHI_VALID_IDX_NUM_RNS_392
 `define SVT_CHI_VALID_RN_IDX_392

 `define SVT_CHI_VALID_IDX_NUM_RNS_394


SVT_CHI_VALID_IDX_NUM_RNS_393
 `define SVT_CHI_VALID_RN_IDX_393

 `define SVT_CHI_VALID_IDX_NUM_RNS_395


SVT_CHI_VALID_IDX_NUM_RNS_394
 `define SVT_CHI_VALID_RN_IDX_394

 `define SVT_CHI_VALID_IDX_NUM_RNS_396


SVT_CHI_VALID_IDX_NUM_RNS_395
 `define SVT_CHI_VALID_RN_IDX_395

 `define SVT_CHI_VALID_IDX_NUM_RNS_397


SVT_CHI_VALID_IDX_NUM_RNS_396
 `define SVT_CHI_VALID_RN_IDX_396

 `define SVT_CHI_VALID_IDX_NUM_RNS_398


SVT_CHI_VALID_IDX_NUM_RNS_397
 `define SVT_CHI_VALID_RN_IDX_397

 `define SVT_CHI_VALID_IDX_NUM_RNS_399


SVT_CHI_VALID_IDX_NUM_RNS_398
 `define SVT_CHI_VALID_RN_IDX_398

 `define SVT_CHI_VALID_IDX_NUM_RNS_4


SVT_CHI_VALID_IDX_NUM_RNS_3
 `define SVT_CHI_VALID_RN_IDX_3

 `define SVT_CHI_VALID_IDX_NUM_RNS_40


SVT_CHI_VALID_IDX_NUM_RNS_39
 `define SVT_CHI_VALID_RN_IDX_39

 `define SVT_CHI_VALID_IDX_NUM_RNS_400


SVT_CHI_VALID_IDX_NUM_RNS_399
 `define SVT_CHI_VALID_RN_IDX_399

 `define SVT_CHI_VALID_IDX_NUM_RNS_401


SVT_CHI_VALID_IDX_NUM_RNS_400
 `define SVT_CHI_VALID_RN_IDX_400

 `define SVT_CHI_VALID_IDX_NUM_RNS_402


SVT_CHI_VALID_IDX_NUM_RNS_401
 `define SVT_CHI_VALID_RN_IDX_401

 `define SVT_CHI_VALID_IDX_NUM_RNS_403


SVT_CHI_VALID_IDX_NUM_RNS_402
 `define SVT_CHI_VALID_RN_IDX_402

 `define SVT_CHI_VALID_IDX_NUM_RNS_404


SVT_CHI_VALID_IDX_NUM_RNS_403
 `define SVT_CHI_VALID_RN_IDX_403

 `define SVT_CHI_VALID_IDX_NUM_RNS_405


SVT_CHI_VALID_IDX_NUM_RNS_404
 `define SVT_CHI_VALID_RN_IDX_404

 `define SVT_CHI_VALID_IDX_NUM_RNS_406


SVT_CHI_VALID_IDX_NUM_RNS_405
 `define SVT_CHI_VALID_RN_IDX_405

 `define SVT_CHI_VALID_IDX_NUM_RNS_407


SVT_CHI_VALID_IDX_NUM_RNS_406
 `define SVT_CHI_VALID_RN_IDX_406

 `define SVT_CHI_VALID_IDX_NUM_RNS_408


SVT_CHI_VALID_IDX_NUM_RNS_407
 `define SVT_CHI_VALID_RN_IDX_407

 `define SVT_CHI_VALID_IDX_NUM_RNS_409


SVT_CHI_VALID_IDX_NUM_RNS_408
 `define SVT_CHI_VALID_RN_IDX_408

 `define SVT_CHI_VALID_IDX_NUM_RNS_41


SVT_CHI_VALID_IDX_NUM_RNS_40
 `define SVT_CHI_VALID_RN_IDX_40

 `define SVT_CHI_VALID_IDX_NUM_RNS_410


SVT_CHI_VALID_IDX_NUM_RNS_409
 `define SVT_CHI_VALID_RN_IDX_409

 `define SVT_CHI_VALID_IDX_NUM_RNS_411


SVT_CHI_VALID_IDX_NUM_RNS_410
 `define SVT_CHI_VALID_RN_IDX_410

 `define SVT_CHI_VALID_IDX_NUM_RNS_412


SVT_CHI_VALID_IDX_NUM_RNS_411
 `define SVT_CHI_VALID_RN_IDX_411

 `define SVT_CHI_VALID_IDX_NUM_RNS_413


SVT_CHI_VALID_IDX_NUM_RNS_412
 `define SVT_CHI_VALID_RN_IDX_412

 `define SVT_CHI_VALID_IDX_NUM_RNS_414


SVT_CHI_VALID_IDX_NUM_RNS_413
 `define SVT_CHI_VALID_RN_IDX_413

 `define SVT_CHI_VALID_IDX_NUM_RNS_415


SVT_CHI_VALID_IDX_NUM_RNS_414
 `define SVT_CHI_VALID_RN_IDX_414

 `define SVT_CHI_VALID_IDX_NUM_RNS_416


SVT_CHI_VALID_IDX_NUM_RNS_415
 `define SVT_CHI_VALID_RN_IDX_415

 `define SVT_CHI_VALID_IDX_NUM_RNS_417


SVT_CHI_VALID_IDX_NUM_RNS_416
 `define SVT_CHI_VALID_RN_IDX_416

 `define SVT_CHI_VALID_IDX_NUM_RNS_418


SVT_CHI_VALID_IDX_NUM_RNS_417
 `define SVT_CHI_VALID_RN_IDX_417

 `define SVT_CHI_VALID_IDX_NUM_RNS_419


SVT_CHI_VALID_IDX_NUM_RNS_418
 `define SVT_CHI_VALID_RN_IDX_418

 `define SVT_CHI_VALID_IDX_NUM_RNS_42


SVT_CHI_VALID_IDX_NUM_RNS_41
 `define SVT_CHI_VALID_RN_IDX_41

 `define SVT_CHI_VALID_IDX_NUM_RNS_420


SVT_CHI_VALID_IDX_NUM_RNS_419
 `define SVT_CHI_VALID_RN_IDX_419

 `define SVT_CHI_VALID_IDX_NUM_RNS_421


SVT_CHI_VALID_IDX_NUM_RNS_420
 `define SVT_CHI_VALID_RN_IDX_420

 `define SVT_CHI_VALID_IDX_NUM_RNS_422


SVT_CHI_VALID_IDX_NUM_RNS_421
 `define SVT_CHI_VALID_RN_IDX_421

 `define SVT_CHI_VALID_IDX_NUM_RNS_423


SVT_CHI_VALID_IDX_NUM_RNS_422
 `define SVT_CHI_VALID_RN_IDX_422

 `define SVT_CHI_VALID_IDX_NUM_RNS_424


SVT_CHI_VALID_IDX_NUM_RNS_423
 `define SVT_CHI_VALID_RN_IDX_423

 `define SVT_CHI_VALID_IDX_NUM_RNS_425


SVT_CHI_VALID_IDX_NUM_RNS_424
 `define SVT_CHI_VALID_RN_IDX_424

 `define SVT_CHI_VALID_IDX_NUM_RNS_426


SVT_CHI_VALID_IDX_NUM_RNS_425
 `define SVT_CHI_VALID_RN_IDX_425

 `define SVT_CHI_VALID_IDX_NUM_RNS_427


SVT_CHI_VALID_IDX_NUM_RNS_426
 `define SVT_CHI_VALID_RN_IDX_426

 `define SVT_CHI_VALID_IDX_NUM_RNS_428


SVT_CHI_VALID_IDX_NUM_RNS_427
 `define SVT_CHI_VALID_RN_IDX_427

 `define SVT_CHI_VALID_IDX_NUM_RNS_429


SVT_CHI_VALID_IDX_NUM_RNS_428
 `define SVT_CHI_VALID_RN_IDX_428

 `define SVT_CHI_VALID_IDX_NUM_RNS_43


SVT_CHI_VALID_IDX_NUM_RNS_42
 `define SVT_CHI_VALID_RN_IDX_42

 `define SVT_CHI_VALID_IDX_NUM_RNS_430


SVT_CHI_VALID_IDX_NUM_RNS_429
 `define SVT_CHI_VALID_RN_IDX_429

 `define SVT_CHI_VALID_IDX_NUM_RNS_431


SVT_CHI_VALID_IDX_NUM_RNS_430
 `define SVT_CHI_VALID_RN_IDX_430

 `define SVT_CHI_VALID_IDX_NUM_RNS_432


SVT_CHI_VALID_IDX_NUM_RNS_431
 `define SVT_CHI_VALID_RN_IDX_431

 `define SVT_CHI_VALID_IDX_NUM_RNS_433


SVT_CHI_VALID_IDX_NUM_RNS_432
 `define SVT_CHI_VALID_RN_IDX_432

 `define SVT_CHI_VALID_IDX_NUM_RNS_434


SVT_CHI_VALID_IDX_NUM_RNS_433
 `define SVT_CHI_VALID_RN_IDX_433

 `define SVT_CHI_VALID_IDX_NUM_RNS_435


SVT_CHI_VALID_IDX_NUM_RNS_434
 `define SVT_CHI_VALID_RN_IDX_434

 `define SVT_CHI_VALID_IDX_NUM_RNS_436


SVT_CHI_VALID_IDX_NUM_RNS_435
 `define SVT_CHI_VALID_RN_IDX_435

 `define SVT_CHI_VALID_IDX_NUM_RNS_437


SVT_CHI_VALID_IDX_NUM_RNS_436
 `define SVT_CHI_VALID_RN_IDX_436

 `define SVT_CHI_VALID_IDX_NUM_RNS_438


SVT_CHI_VALID_IDX_NUM_RNS_437
 `define SVT_CHI_VALID_RN_IDX_437

 `define SVT_CHI_VALID_IDX_NUM_RNS_439


SVT_CHI_VALID_IDX_NUM_RNS_438
 `define SVT_CHI_VALID_RN_IDX_438

 `define SVT_CHI_VALID_IDX_NUM_RNS_44


SVT_CHI_VALID_IDX_NUM_RNS_43
 `define SVT_CHI_VALID_RN_IDX_43

 `define SVT_CHI_VALID_IDX_NUM_RNS_440


SVT_CHI_VALID_IDX_NUM_RNS_439
 `define SVT_CHI_VALID_RN_IDX_439

 `define SVT_CHI_VALID_IDX_NUM_RNS_441


SVT_CHI_VALID_IDX_NUM_RNS_440
 `define SVT_CHI_VALID_RN_IDX_440

 `define SVT_CHI_VALID_IDX_NUM_RNS_442


SVT_CHI_VALID_IDX_NUM_RNS_441
 `define SVT_CHI_VALID_RN_IDX_441

 `define SVT_CHI_VALID_IDX_NUM_RNS_443


SVT_CHI_VALID_IDX_NUM_RNS_442
 `define SVT_CHI_VALID_RN_IDX_442

 `define SVT_CHI_VALID_IDX_NUM_RNS_444


SVT_CHI_VALID_IDX_NUM_RNS_443
 `define SVT_CHI_VALID_RN_IDX_443

 `define SVT_CHI_VALID_IDX_NUM_RNS_445


SVT_CHI_VALID_IDX_NUM_RNS_444
 `define SVT_CHI_VALID_RN_IDX_444

 `define SVT_CHI_VALID_IDX_NUM_RNS_446


SVT_CHI_VALID_IDX_NUM_RNS_445
 `define SVT_CHI_VALID_RN_IDX_445

 `define SVT_CHI_VALID_IDX_NUM_RNS_447


SVT_CHI_VALID_IDX_NUM_RNS_446
 `define SVT_CHI_VALID_RN_IDX_446

 `define SVT_CHI_VALID_IDX_NUM_RNS_448


SVT_CHI_VALID_IDX_NUM_RNS_447
 `define SVT_CHI_VALID_RN_IDX_447

 `define SVT_CHI_VALID_IDX_NUM_RNS_449


SVT_CHI_VALID_IDX_NUM_RNS_448
 `define SVT_CHI_VALID_RN_IDX_448

 `define SVT_CHI_VALID_IDX_NUM_RNS_45


SVT_CHI_VALID_IDX_NUM_RNS_44
 `define SVT_CHI_VALID_RN_IDX_44

 `define SVT_CHI_VALID_IDX_NUM_RNS_450


SVT_CHI_VALID_IDX_NUM_RNS_449
 `define SVT_CHI_VALID_RN_IDX_449

 `define SVT_CHI_VALID_IDX_NUM_RNS_451


SVT_CHI_VALID_IDX_NUM_RNS_450
 `define SVT_CHI_VALID_RN_IDX_450

 `define SVT_CHI_VALID_IDX_NUM_RNS_452


SVT_CHI_VALID_IDX_NUM_RNS_451
 `define SVT_CHI_VALID_RN_IDX_451

 `define SVT_CHI_VALID_IDX_NUM_RNS_453


SVT_CHI_VALID_IDX_NUM_RNS_452
 `define SVT_CHI_VALID_RN_IDX_452

 `define SVT_CHI_VALID_IDX_NUM_RNS_454


SVT_CHI_VALID_IDX_NUM_RNS_453
 `define SVT_CHI_VALID_RN_IDX_453

 `define SVT_CHI_VALID_IDX_NUM_RNS_455


SVT_CHI_VALID_IDX_NUM_RNS_454
 `define SVT_CHI_VALID_RN_IDX_454

 `define SVT_CHI_VALID_IDX_NUM_RNS_456


SVT_CHI_VALID_IDX_NUM_RNS_455
 `define SVT_CHI_VALID_RN_IDX_455

 `define SVT_CHI_VALID_IDX_NUM_RNS_457


SVT_CHI_VALID_IDX_NUM_RNS_456
 `define SVT_CHI_VALID_RN_IDX_456

 `define SVT_CHI_VALID_IDX_NUM_RNS_458


SVT_CHI_VALID_IDX_NUM_RNS_457
 `define SVT_CHI_VALID_RN_IDX_457

 `define SVT_CHI_VALID_IDX_NUM_RNS_459


SVT_CHI_VALID_IDX_NUM_RNS_458
 `define SVT_CHI_VALID_RN_IDX_458

 `define SVT_CHI_VALID_IDX_NUM_RNS_46


SVT_CHI_VALID_IDX_NUM_RNS_45
 `define SVT_CHI_VALID_RN_IDX_45

 `define SVT_CHI_VALID_IDX_NUM_RNS_460


SVT_CHI_VALID_IDX_NUM_RNS_459
 `define SVT_CHI_VALID_RN_IDX_459

 `define SVT_CHI_VALID_IDX_NUM_RNS_461


SVT_CHI_VALID_IDX_NUM_RNS_460
 `define SVT_CHI_VALID_RN_IDX_460

 `define SVT_CHI_VALID_IDX_NUM_RNS_462


SVT_CHI_VALID_IDX_NUM_RNS_461
 `define SVT_CHI_VALID_RN_IDX_461

 `define SVT_CHI_VALID_IDX_NUM_RNS_463


SVT_CHI_VALID_IDX_NUM_RNS_462
 `define SVT_CHI_VALID_RN_IDX_462

 `define SVT_CHI_VALID_IDX_NUM_RNS_464


SVT_CHI_VALID_IDX_NUM_RNS_463
 `define SVT_CHI_VALID_RN_IDX_463

 `define SVT_CHI_VALID_IDX_NUM_RNS_465


SVT_CHI_VALID_IDX_NUM_RNS_464
 `define SVT_CHI_VALID_RN_IDX_464

 `define SVT_CHI_VALID_IDX_NUM_RNS_466


SVT_CHI_VALID_IDX_NUM_RNS_465
 `define SVT_CHI_VALID_RN_IDX_465

 `define SVT_CHI_VALID_IDX_NUM_RNS_467


SVT_CHI_VALID_IDX_NUM_RNS_466
 `define SVT_CHI_VALID_RN_IDX_466

 `define SVT_CHI_VALID_IDX_NUM_RNS_468


SVT_CHI_VALID_IDX_NUM_RNS_467
 `define SVT_CHI_VALID_RN_IDX_467

 `define SVT_CHI_VALID_IDX_NUM_RNS_469


SVT_CHI_VALID_IDX_NUM_RNS_468
 `define SVT_CHI_VALID_RN_IDX_468

 `define SVT_CHI_VALID_IDX_NUM_RNS_47


SVT_CHI_VALID_IDX_NUM_RNS_46
 `define SVT_CHI_VALID_RN_IDX_46

 `define SVT_CHI_VALID_IDX_NUM_RNS_470


SVT_CHI_VALID_IDX_NUM_RNS_469
 `define SVT_CHI_VALID_RN_IDX_469

 `define SVT_CHI_VALID_IDX_NUM_RNS_471


SVT_CHI_VALID_IDX_NUM_RNS_470
 `define SVT_CHI_VALID_RN_IDX_470

 `define SVT_CHI_VALID_IDX_NUM_RNS_472


SVT_CHI_VALID_IDX_NUM_RNS_471
 `define SVT_CHI_VALID_RN_IDX_471

 `define SVT_CHI_VALID_IDX_NUM_RNS_473


SVT_CHI_VALID_IDX_NUM_RNS_472
 `define SVT_CHI_VALID_RN_IDX_472

 `define SVT_CHI_VALID_IDX_NUM_RNS_474


SVT_CHI_VALID_IDX_NUM_RNS_473
 `define SVT_CHI_VALID_RN_IDX_473

 `define SVT_CHI_VALID_IDX_NUM_RNS_475


SVT_CHI_VALID_IDX_NUM_RNS_474
 `define SVT_CHI_VALID_RN_IDX_474

 `define SVT_CHI_VALID_IDX_NUM_RNS_476


SVT_CHI_VALID_IDX_NUM_RNS_475
 `define SVT_CHI_VALID_RN_IDX_475

 `define SVT_CHI_VALID_IDX_NUM_RNS_477


SVT_CHI_VALID_IDX_NUM_RNS_476
 `define SVT_CHI_VALID_RN_IDX_476

 `define SVT_CHI_VALID_IDX_NUM_RNS_478


SVT_CHI_VALID_IDX_NUM_RNS_477
 `define SVT_CHI_VALID_RN_IDX_477

 `define SVT_CHI_VALID_IDX_NUM_RNS_479


SVT_CHI_VALID_IDX_NUM_RNS_478
 `define SVT_CHI_VALID_RN_IDX_478

 `define SVT_CHI_VALID_IDX_NUM_RNS_48


SVT_CHI_VALID_IDX_NUM_RNS_47
 `define SVT_CHI_VALID_RN_IDX_47

 `define SVT_CHI_VALID_IDX_NUM_RNS_480


SVT_CHI_VALID_IDX_NUM_RNS_479
 `define SVT_CHI_VALID_RN_IDX_479

 `define SVT_CHI_VALID_IDX_NUM_RNS_481


SVT_CHI_VALID_IDX_NUM_RNS_480
 `define SVT_CHI_VALID_RN_IDX_480

 `define SVT_CHI_VALID_IDX_NUM_RNS_482


SVT_CHI_VALID_IDX_NUM_RNS_481
 `define SVT_CHI_VALID_RN_IDX_481

 `define SVT_CHI_VALID_IDX_NUM_RNS_483


SVT_CHI_VALID_IDX_NUM_RNS_482
 `define SVT_CHI_VALID_RN_IDX_482

 `define SVT_CHI_VALID_IDX_NUM_RNS_484


SVT_CHI_VALID_IDX_NUM_RNS_483
 `define SVT_CHI_VALID_RN_IDX_483

 `define SVT_CHI_VALID_IDX_NUM_RNS_485


SVT_CHI_VALID_IDX_NUM_RNS_484
 `define SVT_CHI_VALID_RN_IDX_484

 `define SVT_CHI_VALID_IDX_NUM_RNS_486


SVT_CHI_VALID_IDX_NUM_RNS_485
 `define SVT_CHI_VALID_RN_IDX_485

 `define SVT_CHI_VALID_IDX_NUM_RNS_487


SVT_CHI_VALID_IDX_NUM_RNS_486
 `define SVT_CHI_VALID_RN_IDX_486

 `define SVT_CHI_VALID_IDX_NUM_RNS_488


SVT_CHI_VALID_IDX_NUM_RNS_487
 `define SVT_CHI_VALID_RN_IDX_487

 `define SVT_CHI_VALID_IDX_NUM_RNS_489


SVT_CHI_VALID_IDX_NUM_RNS_488
 `define SVT_CHI_VALID_RN_IDX_488

 `define SVT_CHI_VALID_IDX_NUM_RNS_49


SVT_CHI_VALID_IDX_NUM_RNS_48
 `define SVT_CHI_VALID_RN_IDX_48

 `define SVT_CHI_VALID_IDX_NUM_RNS_490


SVT_CHI_VALID_IDX_NUM_RNS_489
 `define SVT_CHI_VALID_RN_IDX_489

 `define SVT_CHI_VALID_IDX_NUM_RNS_491


SVT_CHI_VALID_IDX_NUM_RNS_490
 `define SVT_CHI_VALID_RN_IDX_490

 `define SVT_CHI_VALID_IDX_NUM_RNS_492


SVT_CHI_VALID_IDX_NUM_RNS_491
 `define SVT_CHI_VALID_RN_IDX_491

 `define SVT_CHI_VALID_IDX_NUM_RNS_493


SVT_CHI_VALID_IDX_NUM_RNS_492
 `define SVT_CHI_VALID_RN_IDX_492

 `define SVT_CHI_VALID_IDX_NUM_RNS_494


SVT_CHI_VALID_IDX_NUM_RNS_493
 `define SVT_CHI_VALID_RN_IDX_493

 `define SVT_CHI_VALID_IDX_NUM_RNS_495


SVT_CHI_VALID_IDX_NUM_RNS_494
 `define SVT_CHI_VALID_RN_IDX_494

 `define SVT_CHI_VALID_IDX_NUM_RNS_496


SVT_CHI_VALID_IDX_NUM_RNS_495
 `define SVT_CHI_VALID_RN_IDX_495

 `define SVT_CHI_VALID_IDX_NUM_RNS_497


SVT_CHI_VALID_IDX_NUM_RNS_496
 `define SVT_CHI_VALID_RN_IDX_496

 `define SVT_CHI_VALID_IDX_NUM_RNS_498


SVT_CHI_VALID_IDX_NUM_RNS_497
 `define SVT_CHI_VALID_RN_IDX_497

 `define SVT_CHI_VALID_IDX_NUM_RNS_499


SVT_CHI_VALID_IDX_NUM_RNS_498
 `define SVT_CHI_VALID_RN_IDX_498

 `define SVT_CHI_VALID_IDX_NUM_RNS_5


SVT_CHI_VALID_IDX_NUM_RNS_4
 `define SVT_CHI_VALID_RN_IDX_4

 `define SVT_CHI_VALID_IDX_NUM_RNS_50


SVT_CHI_VALID_IDX_NUM_RNS_49
 `define SVT_CHI_VALID_RN_IDX_49

 `define SVT_CHI_VALID_IDX_NUM_RNS_500


SVT_CHI_VALID_IDX_NUM_RNS_499
 `define SVT_CHI_VALID_RN_IDX_499

 `define SVT_CHI_VALID_IDX_NUM_RNS_501


SVT_CHI_VALID_IDX_NUM_RNS_500
 `define SVT_CHI_VALID_RN_IDX_500

 `define SVT_CHI_VALID_IDX_NUM_RNS_502


SVT_CHI_VALID_IDX_NUM_RNS_501
 `define SVT_CHI_VALID_RN_IDX_501

 `define SVT_CHI_VALID_IDX_NUM_RNS_503


SVT_CHI_VALID_IDX_NUM_RNS_502
 `define SVT_CHI_VALID_RN_IDX_502

 `define SVT_CHI_VALID_IDX_NUM_RNS_504


SVT_CHI_VALID_IDX_NUM_RNS_503
 `define SVT_CHI_VALID_RN_IDX_503

 `define SVT_CHI_VALID_IDX_NUM_RNS_505


SVT_CHI_VALID_IDX_NUM_RNS_504
 `define SVT_CHI_VALID_RN_IDX_504

 `define SVT_CHI_VALID_IDX_NUM_RNS_506


SVT_CHI_VALID_IDX_NUM_RNS_505
 `define SVT_CHI_VALID_RN_IDX_505

 `define SVT_CHI_VALID_IDX_NUM_RNS_507


SVT_CHI_VALID_IDX_NUM_RNS_506
 `define SVT_CHI_VALID_RN_IDX_506

 `define SVT_CHI_VALID_IDX_NUM_RNS_508


SVT_CHI_VALID_IDX_NUM_RNS_507
 `define SVT_CHI_VALID_RN_IDX_507

 `define SVT_CHI_VALID_IDX_NUM_RNS_509


SVT_CHI_VALID_IDX_NUM_RNS_508
 `define SVT_CHI_VALID_RN_IDX_508

 `define SVT_CHI_VALID_IDX_NUM_RNS_51


SVT_CHI_VALID_IDX_NUM_RNS_50
 `define SVT_CHI_VALID_RN_IDX_50

 `define SVT_CHI_VALID_IDX_NUM_RNS_510


SVT_CHI_VALID_IDX_NUM_RNS_509
 `define SVT_CHI_VALID_RN_IDX_509

 `define SVT_CHI_VALID_IDX_NUM_RNS_511


SVT_CHI_VALID_IDX_NUM_RNS_510
 `define SVT_CHI_VALID_RN_IDX_510

 `define SVT_CHI_VALID_IDX_NUM_RNS_512


SVT_CHI_VALID_IDX_NUM_RNS_511
 `define SVT_CHI_VALID_RN_IDX_511

 `define SVT_CHI_VALID_IDX_NUM_RNS_52


SVT_CHI_VALID_IDX_NUM_RNS_51
 `define SVT_CHI_VALID_RN_IDX_51

 `define SVT_CHI_VALID_IDX_NUM_RNS_53


SVT_CHI_VALID_IDX_NUM_RNS_52
 `define SVT_CHI_VALID_RN_IDX_52

 `define SVT_CHI_VALID_IDX_NUM_RNS_54


SVT_CHI_VALID_IDX_NUM_RNS_53
 `define SVT_CHI_VALID_RN_IDX_53

 `define SVT_CHI_VALID_IDX_NUM_RNS_55


SVT_CHI_VALID_IDX_NUM_RNS_54
 `define SVT_CHI_VALID_RN_IDX_54

 `define SVT_CHI_VALID_IDX_NUM_RNS_56


SVT_CHI_VALID_IDX_NUM_RNS_55
 `define SVT_CHI_VALID_RN_IDX_55

 `define SVT_CHI_VALID_IDX_NUM_RNS_57


SVT_CHI_VALID_IDX_NUM_RNS_56
 `define SVT_CHI_VALID_RN_IDX_56

 `define SVT_CHI_VALID_IDX_NUM_RNS_58


SVT_CHI_VALID_IDX_NUM_RNS_57
 `define SVT_CHI_VALID_RN_IDX_57

 `define SVT_CHI_VALID_IDX_NUM_RNS_59


SVT_CHI_VALID_IDX_NUM_RNS_58
 `define SVT_CHI_VALID_RN_IDX_58

 `define SVT_CHI_VALID_IDX_NUM_RNS_6


SVT_CHI_VALID_IDX_NUM_RNS_5
 `define SVT_CHI_VALID_RN_IDX_5

 `define SVT_CHI_VALID_IDX_NUM_RNS_60


SVT_CHI_VALID_IDX_NUM_RNS_59
 `define SVT_CHI_VALID_RN_IDX_59

 `define SVT_CHI_VALID_IDX_NUM_RNS_61


SVT_CHI_VALID_IDX_NUM_RNS_60
 `define SVT_CHI_VALID_RN_IDX_60

 `define SVT_CHI_VALID_IDX_NUM_RNS_62


SVT_CHI_VALID_IDX_NUM_RNS_61
 `define SVT_CHI_VALID_RN_IDX_61

 `define SVT_CHI_VALID_IDX_NUM_RNS_63


SVT_CHI_VALID_IDX_NUM_RNS_62
 `define SVT_CHI_VALID_RN_IDX_62

 `define SVT_CHI_VALID_IDX_NUM_RNS_64


SVT_CHI_VALID_IDX_NUM_RNS_63
 `define SVT_CHI_VALID_RN_IDX_63

 `define SVT_CHI_VALID_IDX_NUM_RNS_65


SVT_CHI_VALID_IDX_NUM_RNS_64
 `define SVT_CHI_VALID_RN_IDX_64

 `define SVT_CHI_VALID_IDX_NUM_RNS_66


SVT_CHI_VALID_IDX_NUM_RNS_65
 `define SVT_CHI_VALID_RN_IDX_65

 `define SVT_CHI_VALID_IDX_NUM_RNS_67


SVT_CHI_VALID_IDX_NUM_RNS_66
 `define SVT_CHI_VALID_RN_IDX_66

 `define SVT_CHI_VALID_IDX_NUM_RNS_68


SVT_CHI_VALID_IDX_NUM_RNS_67
 `define SVT_CHI_VALID_RN_IDX_67

 `define SVT_CHI_VALID_IDX_NUM_RNS_69


SVT_CHI_VALID_IDX_NUM_RNS_68
 `define SVT_CHI_VALID_RN_IDX_68

 `define SVT_CHI_VALID_IDX_NUM_RNS_7


SVT_CHI_VALID_IDX_NUM_RNS_6
 `define SVT_CHI_VALID_RN_IDX_6

 `define SVT_CHI_VALID_IDX_NUM_RNS_70


SVT_CHI_VALID_IDX_NUM_RNS_69
 `define SVT_CHI_VALID_RN_IDX_69

 `define SVT_CHI_VALID_IDX_NUM_RNS_71


SVT_CHI_VALID_IDX_NUM_RNS_70
 `define SVT_CHI_VALID_RN_IDX_70

 `define SVT_CHI_VALID_IDX_NUM_RNS_72


SVT_CHI_VALID_IDX_NUM_RNS_71
 `define SVT_CHI_VALID_RN_IDX_71

 `define SVT_CHI_VALID_IDX_NUM_RNS_73


SVT_CHI_VALID_IDX_NUM_RNS_72
 `define SVT_CHI_VALID_RN_IDX_72

 `define SVT_CHI_VALID_IDX_NUM_RNS_74


SVT_CHI_VALID_IDX_NUM_RNS_73
 `define SVT_CHI_VALID_RN_IDX_73

 `define SVT_CHI_VALID_IDX_NUM_RNS_75


SVT_CHI_VALID_IDX_NUM_RNS_74
 `define SVT_CHI_VALID_RN_IDX_74

 `define SVT_CHI_VALID_IDX_NUM_RNS_76


SVT_CHI_VALID_IDX_NUM_RNS_75
 `define SVT_CHI_VALID_RN_IDX_75

 `define SVT_CHI_VALID_IDX_NUM_RNS_77


SVT_CHI_VALID_IDX_NUM_RNS_76
 `define SVT_CHI_VALID_RN_IDX_76

 `define SVT_CHI_VALID_IDX_NUM_RNS_78


SVT_CHI_VALID_IDX_NUM_RNS_77
 `define SVT_CHI_VALID_RN_IDX_77

 `define SVT_CHI_VALID_IDX_NUM_RNS_79


SVT_CHI_VALID_IDX_NUM_RNS_78
 `define SVT_CHI_VALID_RN_IDX_78

 `define SVT_CHI_VALID_IDX_NUM_RNS_8


SVT_CHI_VALID_IDX_NUM_RNS_7
 `define SVT_CHI_VALID_RN_IDX_7

 `define SVT_CHI_VALID_IDX_NUM_RNS_80


SVT_CHI_VALID_IDX_NUM_RNS_79
 `define SVT_CHI_VALID_RN_IDX_79

 `define SVT_CHI_VALID_IDX_NUM_RNS_81


SVT_CHI_VALID_IDX_NUM_RNS_80
 `define SVT_CHI_VALID_RN_IDX_80

 `define SVT_CHI_VALID_IDX_NUM_RNS_82


SVT_CHI_VALID_IDX_NUM_RNS_81
 `define SVT_CHI_VALID_RN_IDX_81

 `define SVT_CHI_VALID_IDX_NUM_RNS_83


SVT_CHI_VALID_IDX_NUM_RNS_82
 `define SVT_CHI_VALID_RN_IDX_82

 `define SVT_CHI_VALID_IDX_NUM_RNS_84


SVT_CHI_VALID_IDX_NUM_RNS_83
 `define SVT_CHI_VALID_RN_IDX_83

 `define SVT_CHI_VALID_IDX_NUM_RNS_85


SVT_CHI_VALID_IDX_NUM_RNS_84
 `define SVT_CHI_VALID_RN_IDX_84

 `define SVT_CHI_VALID_IDX_NUM_RNS_86


SVT_CHI_VALID_IDX_NUM_RNS_85
 `define SVT_CHI_VALID_RN_IDX_85

 `define SVT_CHI_VALID_IDX_NUM_RNS_87


SVT_CHI_VALID_IDX_NUM_RNS_86
 `define SVT_CHI_VALID_RN_IDX_86

 `define SVT_CHI_VALID_IDX_NUM_RNS_88


SVT_CHI_VALID_IDX_NUM_RNS_87
 `define SVT_CHI_VALID_RN_IDX_87

 `define SVT_CHI_VALID_IDX_NUM_RNS_89


SVT_CHI_VALID_IDX_NUM_RNS_88
 `define SVT_CHI_VALID_RN_IDX_88

 `define SVT_CHI_VALID_IDX_NUM_RNS_9


SVT_CHI_VALID_IDX_NUM_RNS_8
 `define SVT_CHI_VALID_RN_IDX_8

 `define SVT_CHI_VALID_IDX_NUM_RNS_90


SVT_CHI_VALID_IDX_NUM_RNS_89
 `define SVT_CHI_VALID_RN_IDX_89

 `define SVT_CHI_VALID_IDX_NUM_RNS_91


SVT_CHI_VALID_IDX_NUM_RNS_90
 `define SVT_CHI_VALID_RN_IDX_90

 `define SVT_CHI_VALID_IDX_NUM_RNS_92


SVT_CHI_VALID_IDX_NUM_RNS_91
 `define SVT_CHI_VALID_RN_IDX_91

 `define SVT_CHI_VALID_IDX_NUM_RNS_93


SVT_CHI_VALID_IDX_NUM_RNS_92
 `define SVT_CHI_VALID_RN_IDX_92

 `define SVT_CHI_VALID_IDX_NUM_RNS_94


SVT_CHI_VALID_IDX_NUM_RNS_93
 `define SVT_CHI_VALID_RN_IDX_93

 `define SVT_CHI_VALID_IDX_NUM_RNS_95


SVT_CHI_VALID_IDX_NUM_RNS_94
 `define SVT_CHI_VALID_RN_IDX_94

 `define SVT_CHI_VALID_IDX_NUM_RNS_96


SVT_CHI_VALID_IDX_NUM_RNS_95
 `define SVT_CHI_VALID_RN_IDX_95

 `define SVT_CHI_VALID_IDX_NUM_RNS_97


SVT_CHI_VALID_IDX_NUM_RNS_96
 `define SVT_CHI_VALID_RN_IDX_96

 `define SVT_CHI_VALID_IDX_NUM_RNS_98


SVT_CHI_VALID_IDX_NUM_RNS_97
 `define SVT_CHI_VALID_RN_IDX_97

 `define SVT_CHI_VALID_IDX_NUM_RNS_99


SVT_CHI_VALID_IDX_NUM_RNS_98
 `define SVT_CHI_VALID_RN_IDX_98

 `define SVT_CHI_VALID_IDX_NUM_SNS_0


 

 `define SVT_CHI_VALID_IDX_NUM_SNS_1


`define SVT_CHI_VALID_SN_IDX_0

 `define SVT_CHI_VALID_IDX_NUM_SNS_10


SVT_CHI_VALID_IDX_NUM_SNS_9
 `define SVT_CHI_VALID_SN_IDX_9

 `define SVT_CHI_VALID_IDX_NUM_SNS_100


SVT_CHI_VALID_IDX_NUM_SNS_99
 `define SVT_CHI_VALID_SN_IDX_99

 `define SVT_CHI_VALID_IDX_NUM_SNS_101


SVT_CHI_VALID_IDX_NUM_SNS_100
 `define SVT_CHI_VALID_SN_IDX_100

 `define SVT_CHI_VALID_IDX_NUM_SNS_102


SVT_CHI_VALID_IDX_NUM_SNS_101
 `define SVT_CHI_VALID_SN_IDX_101

 `define SVT_CHI_VALID_IDX_NUM_SNS_103


SVT_CHI_VALID_IDX_NUM_SNS_102
 `define SVT_CHI_VALID_SN_IDX_102

 `define SVT_CHI_VALID_IDX_NUM_SNS_104


SVT_CHI_VALID_IDX_NUM_SNS_103
 `define SVT_CHI_VALID_SN_IDX_103

 `define SVT_CHI_VALID_IDX_NUM_SNS_105


SVT_CHI_VALID_IDX_NUM_SNS_104
 `define SVT_CHI_VALID_SN_IDX_104

 `define SVT_CHI_VALID_IDX_NUM_SNS_106


SVT_CHI_VALID_IDX_NUM_SNS_105
 `define SVT_CHI_VALID_SN_IDX_105

 `define SVT_CHI_VALID_IDX_NUM_SNS_107


SVT_CHI_VALID_IDX_NUM_SNS_106
 `define SVT_CHI_VALID_SN_IDX_106

 `define SVT_CHI_VALID_IDX_NUM_SNS_108


SVT_CHI_VALID_IDX_NUM_SNS_107
 `define SVT_CHI_VALID_SN_IDX_107

 `define SVT_CHI_VALID_IDX_NUM_SNS_109


SVT_CHI_VALID_IDX_NUM_SNS_108
 `define SVT_CHI_VALID_SN_IDX_108

 `define SVT_CHI_VALID_IDX_NUM_SNS_11


SVT_CHI_VALID_IDX_NUM_SNS_10
 `define SVT_CHI_VALID_SN_IDX_10

 `define SVT_CHI_VALID_IDX_NUM_SNS_110


SVT_CHI_VALID_IDX_NUM_SNS_109
 `define SVT_CHI_VALID_SN_IDX_109

 `define SVT_CHI_VALID_IDX_NUM_SNS_111


SVT_CHI_VALID_IDX_NUM_SNS_110
 `define SVT_CHI_VALID_SN_IDX_110

 `define SVT_CHI_VALID_IDX_NUM_SNS_112


SVT_CHI_VALID_IDX_NUM_SNS_111
 `define SVT_CHI_VALID_SN_IDX_111

 `define SVT_CHI_VALID_IDX_NUM_SNS_113


SVT_CHI_VALID_IDX_NUM_SNS_112
 `define SVT_CHI_VALID_SN_IDX_112

 `define SVT_CHI_VALID_IDX_NUM_SNS_114


SVT_CHI_VALID_IDX_NUM_SNS_113
 `define SVT_CHI_VALID_SN_IDX_113

 `define SVT_CHI_VALID_IDX_NUM_SNS_115


SVT_CHI_VALID_IDX_NUM_SNS_114
 `define SVT_CHI_VALID_SN_IDX_114

 `define SVT_CHI_VALID_IDX_NUM_SNS_116


SVT_CHI_VALID_IDX_NUM_SNS_115
 `define SVT_CHI_VALID_SN_IDX_115

 `define SVT_CHI_VALID_IDX_NUM_SNS_117


SVT_CHI_VALID_IDX_NUM_SNS_116
 `define SVT_CHI_VALID_SN_IDX_116

 `define SVT_CHI_VALID_IDX_NUM_SNS_118


SVT_CHI_VALID_IDX_NUM_SNS_117
 `define SVT_CHI_VALID_SN_IDX_117

 `define SVT_CHI_VALID_IDX_NUM_SNS_119


SVT_CHI_VALID_IDX_NUM_SNS_118
 `define SVT_CHI_VALID_SN_IDX_118

 `define SVT_CHI_VALID_IDX_NUM_SNS_12


SVT_CHI_VALID_IDX_NUM_SNS_11
 `define SVT_CHI_VALID_SN_IDX_11

 `define SVT_CHI_VALID_IDX_NUM_SNS_120


SVT_CHI_VALID_IDX_NUM_SNS_119
 `define SVT_CHI_VALID_SN_IDX_119

 `define SVT_CHI_VALID_IDX_NUM_SNS_121


SVT_CHI_VALID_IDX_NUM_SNS_120
 `define SVT_CHI_VALID_SN_IDX_120

 `define SVT_CHI_VALID_IDX_NUM_SNS_122


SVT_CHI_VALID_IDX_NUM_SNS_121
 `define SVT_CHI_VALID_SN_IDX_121

 `define SVT_CHI_VALID_IDX_NUM_SNS_123


SVT_CHI_VALID_IDX_NUM_SNS_122
 `define SVT_CHI_VALID_SN_IDX_122

 `define SVT_CHI_VALID_IDX_NUM_SNS_124


SVT_CHI_VALID_IDX_NUM_SNS_123
 `define SVT_CHI_VALID_SN_IDX_123

 `define SVT_CHI_VALID_IDX_NUM_SNS_125


SVT_CHI_VALID_IDX_NUM_SNS_124
 `define SVT_CHI_VALID_SN_IDX_124

 `define SVT_CHI_VALID_IDX_NUM_SNS_126


SVT_CHI_VALID_IDX_NUM_SNS_125
 `define SVT_CHI_VALID_SN_IDX_125

 `define SVT_CHI_VALID_IDX_NUM_SNS_127


SVT_CHI_VALID_IDX_NUM_SNS_126
 `define SVT_CHI_VALID_SN_IDX_126

 `define SVT_CHI_VALID_IDX_NUM_SNS_128


SVT_CHI_VALID_IDX_NUM_SNS_127
 `define SVT_CHI_VALID_SN_IDX_127

 `define SVT_CHI_VALID_IDX_NUM_SNS_13


SVT_CHI_VALID_IDX_NUM_SNS_12
 `define SVT_CHI_VALID_SN_IDX_12

 `define SVT_CHI_VALID_IDX_NUM_SNS_14


SVT_CHI_VALID_IDX_NUM_SNS_13
 `define SVT_CHI_VALID_SN_IDX_13

 `define SVT_CHI_VALID_IDX_NUM_SNS_15


SVT_CHI_VALID_IDX_NUM_SNS_14
 `define SVT_CHI_VALID_SN_IDX_14

 `define SVT_CHI_VALID_IDX_NUM_SNS_16


SVT_CHI_VALID_IDX_NUM_SNS_15
 `define SVT_CHI_VALID_SN_IDX_15

 `define SVT_CHI_VALID_IDX_NUM_SNS_17


SVT_CHI_VALID_IDX_NUM_SNS_16
 `define SVT_CHI_VALID_SN_IDX_16

 `define SVT_CHI_VALID_IDX_NUM_SNS_18


SVT_CHI_VALID_IDX_NUM_SNS_17
 `define SVT_CHI_VALID_SN_IDX_17

 `define SVT_CHI_VALID_IDX_NUM_SNS_19


SVT_CHI_VALID_IDX_NUM_SNS_18
 `define SVT_CHI_VALID_SN_IDX_18

 `define SVT_CHI_VALID_IDX_NUM_SNS_2


SVT_CHI_VALID_IDX_NUM_SNS_1
 `define SVT_CHI_VALID_SN_IDX_1

 `define SVT_CHI_VALID_IDX_NUM_SNS_20


SVT_CHI_VALID_IDX_NUM_SNS_19
 `define SVT_CHI_VALID_SN_IDX_19

 `define SVT_CHI_VALID_IDX_NUM_SNS_21


SVT_CHI_VALID_IDX_NUM_SNS_20
 `define SVT_CHI_VALID_SN_IDX_20

 `define SVT_CHI_VALID_IDX_NUM_SNS_22


SVT_CHI_VALID_IDX_NUM_SNS_21
 `define SVT_CHI_VALID_SN_IDX_21

 `define SVT_CHI_VALID_IDX_NUM_SNS_23


SVT_CHI_VALID_IDX_NUM_SNS_22
 `define SVT_CHI_VALID_SN_IDX_22

 `define SVT_CHI_VALID_IDX_NUM_SNS_24


SVT_CHI_VALID_IDX_NUM_SNS_23
 `define SVT_CHI_VALID_SN_IDX_23

 `define SVT_CHI_VALID_IDX_NUM_SNS_25


SVT_CHI_VALID_IDX_NUM_SNS_24
 `define SVT_CHI_VALID_SN_IDX_24

 `define SVT_CHI_VALID_IDX_NUM_SNS_26


SVT_CHI_VALID_IDX_NUM_SNS_25
 `define SVT_CHI_VALID_SN_IDX_25

 `define SVT_CHI_VALID_IDX_NUM_SNS_27


SVT_CHI_VALID_IDX_NUM_SNS_26
 `define SVT_CHI_VALID_SN_IDX_26

 `define SVT_CHI_VALID_IDX_NUM_SNS_28


SVT_CHI_VALID_IDX_NUM_SNS_27
 `define SVT_CHI_VALID_SN_IDX_27

 `define SVT_CHI_VALID_IDX_NUM_SNS_29


SVT_CHI_VALID_IDX_NUM_SNS_28
 `define SVT_CHI_VALID_SN_IDX_28

 `define SVT_CHI_VALID_IDX_NUM_SNS_3


SVT_CHI_VALID_IDX_NUM_SNS_2
 `define SVT_CHI_VALID_SN_IDX_2

 `define SVT_CHI_VALID_IDX_NUM_SNS_30


SVT_CHI_VALID_IDX_NUM_SNS_29
 `define SVT_CHI_VALID_SN_IDX_29

 `define SVT_CHI_VALID_IDX_NUM_SNS_31


SVT_CHI_VALID_IDX_NUM_SNS_30
 `define SVT_CHI_VALID_SN_IDX_30

 `define SVT_CHI_VALID_IDX_NUM_SNS_32


SVT_CHI_VALID_IDX_NUM_SNS_31
 `define SVT_CHI_VALID_SN_IDX_31

 `define SVT_CHI_VALID_IDX_NUM_SNS_33


SVT_CHI_VALID_IDX_NUM_SNS_32
 `define SVT_CHI_VALID_SN_IDX_32

 `define SVT_CHI_VALID_IDX_NUM_SNS_34


SVT_CHI_VALID_IDX_NUM_SNS_33
 `define SVT_CHI_VALID_SN_IDX_33

 `define SVT_CHI_VALID_IDX_NUM_SNS_35


SVT_CHI_VALID_IDX_NUM_SNS_34
 `define SVT_CHI_VALID_SN_IDX_34

 `define SVT_CHI_VALID_IDX_NUM_SNS_36


SVT_CHI_VALID_IDX_NUM_SNS_35
 `define SVT_CHI_VALID_SN_IDX_35

 `define SVT_CHI_VALID_IDX_NUM_SNS_37


SVT_CHI_VALID_IDX_NUM_SNS_36
 `define SVT_CHI_VALID_SN_IDX_36

 `define SVT_CHI_VALID_IDX_NUM_SNS_38


SVT_CHI_VALID_IDX_NUM_SNS_37
 `define SVT_CHI_VALID_SN_IDX_37

 `define SVT_CHI_VALID_IDX_NUM_SNS_39


SVT_CHI_VALID_IDX_NUM_SNS_38
 `define SVT_CHI_VALID_SN_IDX_38

 `define SVT_CHI_VALID_IDX_NUM_SNS_4


SVT_CHI_VALID_IDX_NUM_SNS_3
 `define SVT_CHI_VALID_SN_IDX_3

 `define SVT_CHI_VALID_IDX_NUM_SNS_40


SVT_CHI_VALID_IDX_NUM_SNS_39
 `define SVT_CHI_VALID_SN_IDX_39

 `define SVT_CHI_VALID_IDX_NUM_SNS_41


SVT_CHI_VALID_IDX_NUM_SNS_40
 `define SVT_CHI_VALID_SN_IDX_40

 `define SVT_CHI_VALID_IDX_NUM_SNS_42


SVT_CHI_VALID_IDX_NUM_SNS_41
 `define SVT_CHI_VALID_SN_IDX_41

 `define SVT_CHI_VALID_IDX_NUM_SNS_43


SVT_CHI_VALID_IDX_NUM_SNS_42
 `define SVT_CHI_VALID_SN_IDX_42

 `define SVT_CHI_VALID_IDX_NUM_SNS_44


SVT_CHI_VALID_IDX_NUM_SNS_43
 `define SVT_CHI_VALID_SN_IDX_43

 `define SVT_CHI_VALID_IDX_NUM_SNS_45


SVT_CHI_VALID_IDX_NUM_SNS_44
 `define SVT_CHI_VALID_SN_IDX_44

 `define SVT_CHI_VALID_IDX_NUM_SNS_46


SVT_CHI_VALID_IDX_NUM_SNS_45
 `define SVT_CHI_VALID_SN_IDX_45

 `define SVT_CHI_VALID_IDX_NUM_SNS_47


SVT_CHI_VALID_IDX_NUM_SNS_46
 `define SVT_CHI_VALID_SN_IDX_46

 `define SVT_CHI_VALID_IDX_NUM_SNS_48


SVT_CHI_VALID_IDX_NUM_SNS_47
 `define SVT_CHI_VALID_SN_IDX_47

 `define SVT_CHI_VALID_IDX_NUM_SNS_49


SVT_CHI_VALID_IDX_NUM_SNS_48
 `define SVT_CHI_VALID_SN_IDX_48

 `define SVT_CHI_VALID_IDX_NUM_SNS_5


SVT_CHI_VALID_IDX_NUM_SNS_4
 `define SVT_CHI_VALID_SN_IDX_4

 `define SVT_CHI_VALID_IDX_NUM_SNS_50


SVT_CHI_VALID_IDX_NUM_SNS_49
 `define SVT_CHI_VALID_SN_IDX_49

 `define SVT_CHI_VALID_IDX_NUM_SNS_51


SVT_CHI_VALID_IDX_NUM_SNS_50
 `define SVT_CHI_VALID_SN_IDX_50

 `define SVT_CHI_VALID_IDX_NUM_SNS_52


SVT_CHI_VALID_IDX_NUM_SNS_51
 `define SVT_CHI_VALID_SN_IDX_51

 `define SVT_CHI_VALID_IDX_NUM_SNS_53


SVT_CHI_VALID_IDX_NUM_SNS_52
 `define SVT_CHI_VALID_SN_IDX_52

 `define SVT_CHI_VALID_IDX_NUM_SNS_54


SVT_CHI_VALID_IDX_NUM_SNS_53
 `define SVT_CHI_VALID_SN_IDX_53

 `define SVT_CHI_VALID_IDX_NUM_SNS_55


SVT_CHI_VALID_IDX_NUM_SNS_54
 `define SVT_CHI_VALID_SN_IDX_54

 `define SVT_CHI_VALID_IDX_NUM_SNS_56


SVT_CHI_VALID_IDX_NUM_SNS_55
 `define SVT_CHI_VALID_SN_IDX_55

 `define SVT_CHI_VALID_IDX_NUM_SNS_57


SVT_CHI_VALID_IDX_NUM_SNS_56
 `define SVT_CHI_VALID_SN_IDX_56

 `define SVT_CHI_VALID_IDX_NUM_SNS_58


SVT_CHI_VALID_IDX_NUM_SNS_57
 `define SVT_CHI_VALID_SN_IDX_57

 `define SVT_CHI_VALID_IDX_NUM_SNS_59


SVT_CHI_VALID_IDX_NUM_SNS_58
 `define SVT_CHI_VALID_SN_IDX_58

 `define SVT_CHI_VALID_IDX_NUM_SNS_6


SVT_CHI_VALID_IDX_NUM_SNS_5
 `define SVT_CHI_VALID_SN_IDX_5

 `define SVT_CHI_VALID_IDX_NUM_SNS_60


SVT_CHI_VALID_IDX_NUM_SNS_59
 `define SVT_CHI_VALID_SN_IDX_59

 `define SVT_CHI_VALID_IDX_NUM_SNS_61


SVT_CHI_VALID_IDX_NUM_SNS_60
 `define SVT_CHI_VALID_SN_IDX_60

 `define SVT_CHI_VALID_IDX_NUM_SNS_62


SVT_CHI_VALID_IDX_NUM_SNS_61
 `define SVT_CHI_VALID_SN_IDX_61

 `define SVT_CHI_VALID_IDX_NUM_SNS_63


SVT_CHI_VALID_IDX_NUM_SNS_62
 `define SVT_CHI_VALID_SN_IDX_62

 `define SVT_CHI_VALID_IDX_NUM_SNS_64


SVT_CHI_VALID_IDX_NUM_SNS_63
 `define SVT_CHI_VALID_SN_IDX_63

 `define SVT_CHI_VALID_IDX_NUM_SNS_65


SVT_CHI_VALID_IDX_NUM_SNS_64
 `define SVT_CHI_VALID_SN_IDX_64

 `define SVT_CHI_VALID_IDX_NUM_SNS_66


SVT_CHI_VALID_IDX_NUM_SNS_65
 `define SVT_CHI_VALID_SN_IDX_65

 `define SVT_CHI_VALID_IDX_NUM_SNS_67


SVT_CHI_VALID_IDX_NUM_SNS_66
 `define SVT_CHI_VALID_SN_IDX_66

 `define SVT_CHI_VALID_IDX_NUM_SNS_68


SVT_CHI_VALID_IDX_NUM_SNS_67
 `define SVT_CHI_VALID_SN_IDX_67

 `define SVT_CHI_VALID_IDX_NUM_SNS_69


SVT_CHI_VALID_IDX_NUM_SNS_68
 `define SVT_CHI_VALID_SN_IDX_68

 `define SVT_CHI_VALID_IDX_NUM_SNS_7


SVT_CHI_VALID_IDX_NUM_SNS_6
 `define SVT_CHI_VALID_SN_IDX_6

 `define SVT_CHI_VALID_IDX_NUM_SNS_70


SVT_CHI_VALID_IDX_NUM_SNS_69
 `define SVT_CHI_VALID_SN_IDX_69

 `define SVT_CHI_VALID_IDX_NUM_SNS_71


SVT_CHI_VALID_IDX_NUM_SNS_70
 `define SVT_CHI_VALID_SN_IDX_70

 `define SVT_CHI_VALID_IDX_NUM_SNS_72


SVT_CHI_VALID_IDX_NUM_SNS_71
 `define SVT_CHI_VALID_SN_IDX_71

 `define SVT_CHI_VALID_IDX_NUM_SNS_73


SVT_CHI_VALID_IDX_NUM_SNS_72
 `define SVT_CHI_VALID_SN_IDX_72

 `define SVT_CHI_VALID_IDX_NUM_SNS_74


SVT_CHI_VALID_IDX_NUM_SNS_73
 `define SVT_CHI_VALID_SN_IDX_73

 `define SVT_CHI_VALID_IDX_NUM_SNS_75


SVT_CHI_VALID_IDX_NUM_SNS_74
 `define SVT_CHI_VALID_SN_IDX_74

 `define SVT_CHI_VALID_IDX_NUM_SNS_76


SVT_CHI_VALID_IDX_NUM_SNS_75
 `define SVT_CHI_VALID_SN_IDX_75

 `define SVT_CHI_VALID_IDX_NUM_SNS_77


SVT_CHI_VALID_IDX_NUM_SNS_76
 `define SVT_CHI_VALID_SN_IDX_76

 `define SVT_CHI_VALID_IDX_NUM_SNS_78


SVT_CHI_VALID_IDX_NUM_SNS_77
 `define SVT_CHI_VALID_SN_IDX_77

 `define SVT_CHI_VALID_IDX_NUM_SNS_79


SVT_CHI_VALID_IDX_NUM_SNS_78
 `define SVT_CHI_VALID_SN_IDX_78

 `define SVT_CHI_VALID_IDX_NUM_SNS_8


SVT_CHI_VALID_IDX_NUM_SNS_7
 `define SVT_CHI_VALID_SN_IDX_7

 `define SVT_CHI_VALID_IDX_NUM_SNS_80


SVT_CHI_VALID_IDX_NUM_SNS_79
 `define SVT_CHI_VALID_SN_IDX_79

 `define SVT_CHI_VALID_IDX_NUM_SNS_81


SVT_CHI_VALID_IDX_NUM_SNS_80
 `define SVT_CHI_VALID_SN_IDX_80

 `define SVT_CHI_VALID_IDX_NUM_SNS_82


SVT_CHI_VALID_IDX_NUM_SNS_81
 `define SVT_CHI_VALID_SN_IDX_81

 `define SVT_CHI_VALID_IDX_NUM_SNS_83


SVT_CHI_VALID_IDX_NUM_SNS_82
 `define SVT_CHI_VALID_SN_IDX_82

 `define SVT_CHI_VALID_IDX_NUM_SNS_84


SVT_CHI_VALID_IDX_NUM_SNS_83
 `define SVT_CHI_VALID_SN_IDX_83

 `define SVT_CHI_VALID_IDX_NUM_SNS_85


SVT_CHI_VALID_IDX_NUM_SNS_84
 `define SVT_CHI_VALID_SN_IDX_84

 `define SVT_CHI_VALID_IDX_NUM_SNS_86


SVT_CHI_VALID_IDX_NUM_SNS_85
 `define SVT_CHI_VALID_SN_IDX_85

 `define SVT_CHI_VALID_IDX_NUM_SNS_87


SVT_CHI_VALID_IDX_NUM_SNS_86
 `define SVT_CHI_VALID_SN_IDX_86

 `define SVT_CHI_VALID_IDX_NUM_SNS_88


SVT_CHI_VALID_IDX_NUM_SNS_87
 `define SVT_CHI_VALID_SN_IDX_87

 `define SVT_CHI_VALID_IDX_NUM_SNS_89


SVT_CHI_VALID_IDX_NUM_SNS_88
 `define SVT_CHI_VALID_SN_IDX_88

 `define SVT_CHI_VALID_IDX_NUM_SNS_9


SVT_CHI_VALID_IDX_NUM_SNS_8
 `define SVT_CHI_VALID_SN_IDX_8

 `define SVT_CHI_VALID_IDX_NUM_SNS_90


SVT_CHI_VALID_IDX_NUM_SNS_89
 `define SVT_CHI_VALID_SN_IDX_89

 `define SVT_CHI_VALID_IDX_NUM_SNS_91


SVT_CHI_VALID_IDX_NUM_SNS_90
 `define SVT_CHI_VALID_SN_IDX_90

 `define SVT_CHI_VALID_IDX_NUM_SNS_92


SVT_CHI_VALID_IDX_NUM_SNS_91
 `define SVT_CHI_VALID_SN_IDX_91

 `define SVT_CHI_VALID_IDX_NUM_SNS_93


SVT_CHI_VALID_IDX_NUM_SNS_92
 `define SVT_CHI_VALID_SN_IDX_92

 `define SVT_CHI_VALID_IDX_NUM_SNS_94


SVT_CHI_VALID_IDX_NUM_SNS_93
 `define SVT_CHI_VALID_SN_IDX_93

 `define SVT_CHI_VALID_IDX_NUM_SNS_95


SVT_CHI_VALID_IDX_NUM_SNS_94
 `define SVT_CHI_VALID_SN_IDX_94

 `define SVT_CHI_VALID_IDX_NUM_SNS_96


SVT_CHI_VALID_IDX_NUM_SNS_95
 `define SVT_CHI_VALID_SN_IDX_95

 `define SVT_CHI_VALID_IDX_NUM_SNS_97


SVT_CHI_VALID_IDX_NUM_SNS_96
 `define SVT_CHI_VALID_SN_IDX_96

 `define SVT_CHI_VALID_IDX_NUM_SNS_98


SVT_CHI_VALID_IDX_NUM_SNS_97
 `define SVT_CHI_VALID_SN_IDX_97

 `define SVT_CHI_VALID_IDX_NUM_SNS_99


SVT_CHI_VALID_IDX_NUM_SNS_98
 `define SVT_CHI_VALID_SN_IDX_98

 `define SVT_CHI_VALID_RN_IDX_0

  

 `define SVT_CHI_VALID_RN_IDX_1

  

 `define SVT_CHI_VALID_RN_IDX_10

  

 `define SVT_CHI_VALID_RN_IDX_11

  

 `define SVT_CHI_VALID_RN_IDX_12

  

 `define SVT_CHI_VALID_RN_IDX_13

  

 `define SVT_CHI_VALID_RN_IDX_14

  

 `define SVT_CHI_VALID_RN_IDX_15

 

 `define SVT_CHI_VALID_RN_IDX_2

  

 `define SVT_CHI_VALID_RN_IDX_3

  

 `define SVT_CHI_VALID_RN_IDX_4

  

 `define SVT_CHI_VALID_RN_IDX_5

  

 `define SVT_CHI_VALID_RN_IDX_6

  

 `define SVT_CHI_VALID_RN_IDX_7

  

 `define SVT_CHI_VALID_RN_IDX_8

  

 `define SVT_CHI_VALID_RN_IDX_9

  

 `define SVT_CHI_VALID_SN_IDX_0

  

 `define SVT_CHI_VALID_SN_IDX_1

  

 `define SVT_CHI_VALID_SN_IDX_10

  

 `define SVT_CHI_VALID_SN_IDX_11

  

 `define SVT_CHI_VALID_SN_IDX_12

  

 `define SVT_CHI_VALID_SN_IDX_13

  

 `define SVT_CHI_VALID_SN_IDX_14

  

 `define SVT_CHI_VALID_SN_IDX_15

 

 `define SVT_CHI_VALID_SN_IDX_2

  

 `define SVT_CHI_VALID_SN_IDX_3

  

 `define SVT_CHI_VALID_SN_IDX_4

  

 `define SVT_CHI_VALID_SN_IDX_5

  

 `define SVT_CHI_VALID_SN_IDX_6

  

 `define SVT_CHI_VALID_SN_IDX_7

  

 `define SVT_CHI_VALID_SN_IDX_8

  

 `define SVT_CHI_VALID_SN_IDX_9

  

 `define SVT_CHI_VERSION_5_0

5

CHI Versions

 `define SVT_CHI_VMID_EXT_WIDTH

8

Width of VMID EXT field

 `define SVT_CHI_VMID_WIDTH

16

Width of SLCRepHint fields-- applicable for CHI Issue D

 `define SVT_CHI_WAIT_FOR_XACT_ENDED ( this_xact )


`ifdef SVT_UVM_TECHNOLOGY
begin
  uvm_event_pool xact_event_pool;
  uvm_event ended_event;
  xact_event_pool = this_xact.get_event_pool();
  ended_event = xact_event_pool.get("end");
  ended_event.wait_trigger();
end
`elsif SVT_OVM_TECHNOLOGY
begin
  ovm_event_pool xact_event_pool;
  ovm_event ended_event;
  xact_event_pool = this_xact.get_event_pool();
  ended_event = xact_event_pool.get("end");
  ended_event.wait_trigger();
end
`else
this_xact.notify.wait_for(vmm_data::ENDED);
`endif

 `define SVT_CHI_WR_TRANSACTION_INTERLEAVE_RANDOM_BLOCK

1

 `define SVT_CHI_WRITE_FOLLOWED_BY_WRITE_PATTERN_SEQ

2_0

 `define SVT_CHI_WRITE_TYPE_SEMANTIC

1

 `define SVT_CHI_XACT_CBUSY_WIDTH

 `define SVT_CHI_XACT_CCID_WIDTH

2

 `define SVT_CHI_XACT_DAT_FLIT_MAX_BE_WIDTH

 `define SVT_CHI_XACT_DAT_FLIT_MAX_DATA_WIDTH

 `define SVT_CHI_XACT_DAT_FLIT_MAX_DATACHECK_WIDTH

 `define SVT_CHI_XACT_DAT_FLIT_MAX_POISON_WIDTH

 `define SVT_CHI_XACT_DAT_FLIT_MAX_RSVDC_WIDTH

 `define SVT_CHI_XACT_DAT_RSVDC_WIDTH

 `define SVT_CHI_XACT_DAT_VC_FLIT_OP_WIDTH

 `define SVT_CHI_XACT_DATA_ID_WIDTH

2

 `define SVT_CHI_XACT_FORWARD_TXN_ID_WIDTH

 `define SVT_CHI_XACT_MAX_BE_WIDTH

 `define SVT_CHI_XACT_MAX_DATA_WIDTH

 `define SVT_CHI_XACT_MAX_DATACHECK_WIDTH

 `define SVT_CHI_XACT_MAX_MPAM_PARTID_WIDTH

 `define SVT_CHI_XACT_MAX_MPAM_PERFMONGROUP_WIDTH

 `define SVT_CHI_XACT_MAX_POISON_WIDTH

 `define SVT_CHI_XACT_MAX_TAG_UPDATE_WIDTH

`SVT_CHI_MAX_TAG_UPDATE_WIDTH

 `define SVT_CHI_XACT_MAX_TAG_WIDTH

`SVT_CHI_MAX_TAG_WIDTH

 `define SVT_CHI_XACT_MPAM_NS_WIDTH

 `define SVT_CHI_XACT_MPAM_WIDTH

 `define SVT_CHI_XACT_QOS_WIDTH

 `define SVT_CHI_XACT_REQ_FLIT_MAX_RSVDC_WIDTH

 `define SVT_CHI_XACT_REQ_RSVDC_WIDTH

 `define SVT_CHI_XACT_REQ_VC_FLIT_OP_WIDTH

 `define SVT_CHI_XACT_RETURN_TXN_ID_WIDTH

 `define SVT_CHI_XACT_RSP_VC_FLIT_OP_WIDTH

 `define SVT_CHI_XACT_SNP_VC_FLIT_OP_WIDTH

 `define SVT_CHI_XACT_STATUS_ENDED ( xact )


(xact.status == svt_chi_transaction::ACCEPT ||
        xact.status == svt_chi_transaction::DISABLED ||
        xact.status == svt_chi_transaction::CANCELLED ||
        xact.status == svt_chi_transaction::ABORTED)

 `define SVT_CHI_XACT_TXN_ID_WIDTH

 `define SVT_CHI_XACT_TYPE_ATOMICCOMPARE

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_ADD

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_CLR

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_EOR

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_SET

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_SMAX

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_SMIN

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_UMAX

 `define SVT_CHI_XACT_TYPE_ATOMICLOAD_UMIN

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_ADD

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_CLR

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_EOR

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_SET

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_SMAX

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_SMIN

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_UMAX

 `define SVT_CHI_XACT_TYPE_ATOMICSTORE_UMIN

 `define SVT_CHI_XACT_TYPE_ATOMICSWAP

 `define SVT_CHI_XACT_TYPE_CLEANINVALID

 `define SVT_CHI_XACT_TYPE_CLEANSHARED

 `define SVT_CHI_XACT_TYPE_CLEANSHAREDPERSIST

 `define SVT_CHI_XACT_TYPE_CLEANSHAREDPERSISTSEP

 `define SVT_CHI_XACT_TYPE_CLEANUNIQUE

 `define SVT_CHI_XACT_TYPE_DVMOP

 `define SVT_CHI_XACT_TYPE_ECBARRIER

 `define SVT_CHI_XACT_TYPE_EOBARRIER

 `define SVT_CHI_XACT_TYPE_EVICT

 `define SVT_CHI_XACT_TYPE_MAKEINVALID

 `define SVT_CHI_XACT_TYPE_MAKEUNIQUE

 `define SVT_CHI_XACT_TYPE_PCRDRETURN

 `define SVT_CHI_XACT_TYPE_PREFETCHTGT

 `define SVT_CHI_XACT_TYPE_READCLEAN

 `define SVT_CHI_XACT_TYPE_READNOSNP

 `define SVT_CHI_XACT_TYPE_READNOSNPSEP

 `define SVT_CHI_XACT_TYPE_READNOTSHAREDDIRTY

 `define SVT_CHI_XACT_TYPE_READONCE

 `define SVT_CHI_XACT_TYPE_READONCECLEANINVALID

 `define SVT_CHI_XACT_TYPE_READONCEMAKEINVALID

 `define SVT_CHI_XACT_TYPE_READSHARED

 `define SVT_CHI_XACT_TYPE_READSPEC

 `define SVT_CHI_XACT_TYPE_READUNIQUE

 `define SVT_CHI_XACT_TYPE_REQLINKFLIT


AMBA CHI Transaction TYPE: Used to define type for request messages: Aliased to Request VC FLIT Opcodes

 `define SVT_CHI_XACT_TYPE_STASHONCESHARED

 `define SVT_CHI_XACT_TYPE_STASHONCEUNIQUE

 `define SVT_CHI_XACT_TYPE_WRITEBACKFULL

 `define SVT_CHI_XACT_TYPE_WRITEBACKPTL

 `define SVT_CHI_XACT_TYPE_WRITECLEANFULL

 `define SVT_CHI_XACT_TYPE_WRITECLEANPTL

 `define SVT_CHI_XACT_TYPE_WRITEEVICTFULL

 `define SVT_CHI_XACT_TYPE_WRITENOSNPFULL

 `define SVT_CHI_XACT_TYPE_WRITENOSNPPTL

 `define SVT_CHI_XACT_TYPE_WRITEUNIQUEFULL

 `define SVT_CHI_XACT_TYPE_WRITEUNIQUEFULLSTASH

 `define SVT_CHI_XACT_TYPE_WRITEUNIQUEPTL

 `define SVT_CHI_XACT_TYPE_WRITEUNIQUEPTLSTASH

 `define svt_chi_xxm_debug ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_debug(id,msg);
`else
svt_xvm_debug(id,msg);
`endif

 `define svt_chi_xxm_error ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_error(id,msg);
`else
svt_xvm_error(id,msg);
`endif

 `define svt_chi_xxm_fatal ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_fatal(id,msg);
`else
svt_xvm_fatal(id,msg);
`endif

 `define svt_chi_xxm_note ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_note(id,msg);
`else
svt_xvm_note(id,msg);
`endif

 `define svt_chi_xxm_verbose ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_verbose(id,msg);
`else
svt_xvm_verbose(id,msg);
`endif

 `define svt_chi_xxm_warning ( id, msg )


`ifdef SVT_VMM_TECHNOLOGY
svt_warning(id,msg);
`else
svt_xvm_warning(id,msg);
`endif

 `define SVT_DATA_PULL_WIDTH

3

 `define SVT_EXCLUDE_VCAP

 `define WAIT_FOR_ATLEAST_ONE_OUTSTANDING_XACT_TO_END ( queue_name, outstanding_xact_cfg, addl_info )


fork
   begin
     for(int i =0; i<queue_name.size(); i++)begin
       automatic int j =i;
       fork
         begin
           svt_chi_ic_snoop_transaction _xact = queue_name[j] ;
           int _idx[$];
           _xact.wait_end();
           queue_name``_sema.get(1);
           _idx = queue_name.find_first_index with (item == _xact);
           queue_name.delete(_idx[0]);
           queue_name``_sema.put(1);
         end
       join_none
     end
     wait(queue_name.size() < outstanding_xact_cfg);
    if(queue_name.size() >= outstanding_xact_cfg )
      svt_fatal("add_to_ic_sn_snp_active", $sformatf("[Internal error] %0s queue.size(%0d) >= outstanding_xact_cfg(%0d)",addl_info, queue_name.size(),outstanding_xact_cfg));
   disable fork;
   end
 join_none