Global Functions |
| function string |
getenv (
input string envname ) |
| function void |
global_stop_request (
) |
| task |
run_test (
string test_name = "" ) |
| function void |
set_config_int (
string inst_name, string field_name, uvm_bitstream_t value ) |
| function void |
set_config_object (
string inst_name, string field_name, uvm_object value, bit clone = 1 ) |
| function void |
set_config_string (
string inst_name, string field_name, string value ) |
| function void |
set_global_stop_timeout (
time timeout ) |
| function void |
set_global_timeout (
time timeout, bit overridable = 1 ) |
| function int |
stat (
input string fname, output int buff[1000] ) |
| function function |
svt_fuzzy_real_compare (
real lhs, real rhs, real precision = 0.000001 ) |
| function int |
svt_vcap__analyze_test (
input string test_profile_path ) |
| function int |
svt_vcap__get_group (
) |
| function int |
svt_vcap__get_group_count (
) |
| function string |
svt_vcap__get_group_name (
) |
| function int |
svt_vcap__get_sequencer (
) |
| function int |
svt_vcap__get_sequencer_count (
) |
| function string |
svt_vcap__get_sequencer_inst_path (
) |
| function int |
svt_vcap__get_sequencer_resource_profile (
) |
| function int |
svt_vcap__get_sequencer_resource_profile_attr (
) |
| function int |
svt_vcap__get_sequencer_resource_profile_attr_count (
) |
| function string |
svt_vcap__get_sequencer_resource_profile_attr_name (
) |
| function string |
svt_vcap__get_sequencer_resource_profile_attr_value (
) |
| function int |
svt_vcap__get_sequencer_resource_profile_count (
) |
| function string |
svt_vcap__get_sequencer_resource_profile_path (
) |
| function string |
svt_vcap__get_sequencer_sequencer_name (
) |
| function int |
svt_vcap__get_synchronization_spec (
) |
| function int |
svt_vcap__get_synchronization_spec_input_event (
) |
| function int |
svt_vcap__get_synchronization_spec_input_event_count (
) |
| function string |
svt_vcap__get_synchronization_spec_input_event_event_name (
) |
| function string |
svt_vcap__get_synchronization_spec_input_event_sequencer_name (
) |
| function string |
svt_vcap__get_synchronization_spec_input_event_traffic_profile_name (
) |
| function int |
svt_vcap__get_synchronization_spec_output_event (
) |
| function int |
svt_vcap__get_synchronization_spec_output_event_count (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_event_name (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_frame_size (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_frame_time (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_output_event_type (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_sequencer_name (
) |
| function string |
svt_vcap__get_synchronization_spec_output_event_traffic_profile_name (
) |
| function int |
svt_vcap__get_traffic_profile (
) |
| function int |
svt_vcap__get_traffic_profile_attr (
) |
| function int |
svt_vcap__get_traffic_profile_attr_count (
) |
| function string |
svt_vcap__get_traffic_profile_attr_name (
) |
| function string |
svt_vcap__get_traffic_profile_attr_value (
) |
| function string |
svt_vcap__get_traffic_profile_component (
) |
| function int |
svt_vcap__get_traffic_profile_count (
) |
| function string |
svt_vcap__get_traffic_profile_path (
) |
| function string |
svt_vcap__get_traffic_profile_profile_name (
) |
| function string |
svt_vcap__get_traffic_profile_protocol (
) |
| function int |
svt_vcap__get_traffic_resource_profile (
) |
| function int |
svt_vcap__get_traffic_resource_profile_attr (
) |
| function int |
svt_vcap__get_traffic_resource_profile_attr_count (
) |
| function string |
svt_vcap__get_traffic_resource_profile_attr_name (
) |
| function string |
svt_vcap__get_traffic_resource_profile_attr_value (
) |
| function int |
svt_vcap__get_traffic_resource_profile_count (
) |
| function string |
svt_vcap__get_traffic_resource_profile_path (
) |
| task |
svt_wait_for_nba_region (
) |
| function string |
uvm_bits_to_string (
logic [0:0] str ) |
| function unsigned int |
uvm_create_random_seed (
string type_id, string inst_id = "" ) |
| function string |
uvm_dpi_get_next_arg (
) |
| function string |
uvm_dpi_get_next_arg_c (
) |
| function string |
uvm_dpi_get_tool_name (
) |
| function string |
uvm_dpi_get_tool_name_c (
) |
| function string |
uvm_dpi_get_tool_version (
) |
| function string |
uvm_dpi_get_tool_version_c (
) |
| function chandle |
uvm_dpi_regcomp (
string regex ) |
| function int |
uvm_dpi_regexec (
chandle preg, string str ) |
| function void |
uvm_dpi_regfree (
chandle preg ) |
| function void |
uvm_dump_re_cache (
) |
| function int |
uvm_get_array_index_int (
string arg, output bit is_wildcard ) |
| function string |
uvm_get_array_index_string (
string arg, output bit is_wildcard ) |
| function string |
uvm_glob_to_re (
string glob ) |
| function function |
uvm_has_wildcard (
string arg ) |
| function int |
uvm_hdl_check_path (
string path ) |
| function string |
uvm_hdl_concat2string (
uvm_hdl_path_concat concat ) |
| function int |
uvm_hdl_deposit (
string path, uvm_hdl_data_t value ) |
| function int |
uvm_hdl_force (
string path, uvm_hdl_data_t value ) |
| task |
uvm_hdl_force_time (
string path, uvm_hdl_data_t value, time force_time = 0 ) |
| function int |
uvm_hdl_read (
string path, output uvm_hdl_data_t value ) |
| function int |
uvm_hdl_release (
string path ) |
| function int |
uvm_hdl_release_and_read (
string path, inout uvm_hdl_data_t value ) |
| function string |
uvm_instance_scope (
) |
| function bit |
uvm_is_array (
string arg ) |
| function bit |
uvm_is_match (
string expr, string str ) |
| function string |
uvm_leaf_scope (
string full_name, byte scope_separator = "." ) |
| function string |
uvm_object_value_str (
uvm_object v ) |
| function unsigned int |
uvm_oneway_hash (
string string_in, int unsigned seed = 0 ) |
| function string |
uvm_radix_to_string (
uvm_radix_enum radix ) |
| function void |
uvm_report (
uvm_severity severity, string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0 ) |
| function bit |
uvm_report_enabled (
int verbosity, uvm_severity severity = UVM_INFO, string id = "" ) |
| function void |
uvm_report_error (
string id, string message, int verbosity = UVM_LOW, string filename = "", int line = 0 ) |
| function void |
uvm_report_fatal (
string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0 ) |
| function void |
uvm_report_info (
string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0 ) |
| function void |
uvm_report_warning (
string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0 ) |
| function int |
uvm_re_match (
string re, string str ) |
| function string |
uvm_revision_string (
) |
| function void |
uvm_split_string (
string str, byte sep, ref string values[$] ) |
| function function |
uvm_string_to_action (
string action_str, output uvm_action action ) |
| function logic [1:0] |
uvm_string_to_bits (
string str ) |
| function bit |
uvm_string_to_severity (
string sev_str, output uvm_severity sev ) |
| function string |
uvm_vector_to_string (
uvm_bitstream_t value, int size, uvm_radix_enum radix = UVM_NORADIX, string radix_str = "" ) |
| task |
uvm_wait_for_nba_region (
) |
Global Variables |
| uvm_phase |
build_ph |
| uvm_phase |
check_ph |
| uvm_phase |
connect_ph |
| uvm_phase |
end_of_elaboration_ph |
| uvm_phase |
extract_ph |
| const uvm_factory |
factory = uvm_factory; |
| uvm_phase |
report_ph |
| uvm_phase |
run_ph |
| uvm_phase |
start_of_simulation_ph |
| const string |
s_connection_error_id = "Connection Error"; |
| const string |
s_connection_warning_id = "Connection Warning"; |
| const string |
s_spaces = " "; |
| string |
uvm_aa_string_key |
| parameter |
UVM_ABSTRACT = 1 << 14; |
| parameter |
UVM_ALL_ON = 'b000000101010101; |
| parameter |
uvm_cdn_copyright = "(C) 2007-2013 Cadence Design Systems, Inc."; |
| parameter |
UVM_CHECK_FIELDS = UVM_MACRO_EXTRAS + 3; |
| const uvm_cmdline_processor |
uvm_cmdline_proc = uvm_cmdline_processor; |
| parameter |
UVM_COMPARE = 1 << 2; |
| parameter |
UVM_COPY = 1 << 0; |
| parameter |
uvm_cy_copyright = "(C) 2011-2013 Cypress Semiconductor Corp."; |
| parameter |
UVM_DEFAULT = 'b000010101010101; |
| uvm_comparer |
uvm_default_comparer = new; |
| uvm_line_printer |
uvm_default_line_printer = new; |
| uvm_packer |
uvm_default_packer = new; |
| uvm_printer |
uvm_default_printer = uvm_default_table_printer; |
| uvm_recorder |
uvm_default_recorder = new; |
| uvm_table_printer |
uvm_default_table_printer = new; |
| uvm_tree_printer |
uvm_default_tree_printer = new; |
| parameter |
UVM_END_DATA_EXTRA = UVM_MACRO_EXTRAS + 4; |
| parameter |
UVM_END_FUNCS = UVM_SETSTR; |
| parameter |
UVM_FLAGS = UVM_MACRO_EXTRAS + 1; |
| parameter |
UVM_FLAGS_OFF = 0; |
| parameter |
UVM_FLAGS_ON = 'b000000101010101; |
| uvm_copy_map |
uvm_global_copy_map = new; |
| int unsigned |
uvm_global_random_seed = $urandom; |
| parameter |
UVM_HDL_MAX_WIDTH = 1024; |
| parameter |
UVM_LARGE_STRING = UVM_LINE_WIDTH * UVM_NUM_LINES * 8 - 1; |
| parameter |
UVM_LINE_WIDTH = 120; |
| parameter |
UVM_MACRO_EXTRAS = 1 << UVM_MACRO_NUMFLAGS; |
| parameter |
UVM_MACRO_NUMFLAGS = 17; |
| parameter |
uvm_mgc_copyright = "(C) 2007-2013 Mentor Graphics Corporation"; |
| parameter |
UVM_NOCOMPARE = 1 << 3; |
| parameter |
UVM_NOCOPY = 1 << 1; |
| parameter |
UVM_NODEFPRINT = 1 << 16; |
| parameter |
UVM_NOPACK = 1 << 9; |
| parameter |
UVM_NOPRINT = 1 << 5; |
| parameter |
UVM_NORECORD = 1 << 7; |
| parameter |
UVM_NUM_LINES = 120; |
| parameter |
UVM_PACK = 1 << 8; |
| parameter |
UVM_PHYSICAL = 1 << 13; |
| parameter |
UVM_PRINT = 1 << 4; |
| parameter |
UVM_RADIX = 'hf000000; |
| uvm_seed_map |
uvm_random_seed_table_lookup [string] |
| parameter |
UVM_READONLY = 1 << 15; |
| parameter |
UVM_RECORD = 1 << 6; |
| const uvm_resource_pool |
uvm_resources = uvm_resource_pool; |
| parameter |
uvm_revision = "UVM-1.1d"; |
| parameter |
UVM_SET = UVM_START_FUNCS + 1; |
| parameter |
UVM_SETINT = UVM_SET; |
| parameter |
UVM_SETOBJ = UVM_START_FUNCS + 2; |
| parameter |
UVM_SETSTR = UVM_START_FUNCS + 3; |
| parameter |
UVM_SMALL_STRING = UVM_LINE_WIDTH * 8 - 1; |
| parameter |
uvm_snps_copyright = "(C) 2006-2013 Synopsys, Inc."; |
| parameter |
UVM_START_FUNCS = UVM_END_DATA_EXTRA + 1; |
| bit |
uvm_start_uvm_declarations = 1; |
| parameter |
UVM_STDOUT = 1; |
| parameter |
UVM_STREAMBITS = 4096; |
| parameter |
UVM_STR_CRC_POLYNOMIAL = 32'h04c11db6; |
| const uvm_root |
uvm_top = uvm_root; |
| parameter |
UVM_UNPACK = UVM_MACRO_EXTRAS + 2; |
| const uvm_root |
_global_reporter = uvm_root; |
| uvm_test_done_objection |
uvm_test_done = uvm_test_done_objection; |
| const int |
UVM_UNBOUNDED_CONNECTIONS = -1; |
Global Typedefs |
| typedef interface |
APB_SLAVE_IF |
| typedef class |
m_uvm_test_done_objection_base |
| typedef class |
svt_amba_pv_response |
| typedef class |
svt_apb_checker |
| typedef class |
svt_apb_master_callback |
| typedef class |
svt_apb_master_callback_pool |
| typedef class |
svt_apb_master_monitor_callback |
| typedef class |
svt_apb_master_monitor_callback_pool |
| typedef class |
svt_apb_slave_callback |
| typedef class |
svt_apb_slave_callback_pool |
| typedef class |
svt_apb_slave_monitor_callback |
| typedef class |
svt_apb_slave_monitor_callback_pool |
| typedef class |
svt_apb_system_configuration |
| typedef class |
svt_apb_transaction |
| typedef enum |
svt_apprepend |
| typedef class |
svt_callback |
| typedef class |
svt_debug_opts_carrier |
| typedef class |
svt_err_check_report_catcher |
| typedef class |
svt_fsm |
| typedef class |
svt_fsm_callbacks |
| typedef class |
svt_fsm_state_base |
| typedef class |
svt_fsm_state_callbacks |
| typedef class |
svt_fuzzy_real_comparer |
| typedef class |
svt_non_abstract_report_object |
| typedef class |
svt_pattern |
| typedef class |
svt_phase |
| typedef class |
svt_reactive_sequence |
| typedef class |
svt_report_catcher |
| typedef class |
svt_sequence |
| typedef class |
svt_sequence_item_base |
| typedef class |
svt_sequence_item_base_iter |
| typedef class |
svt_uvm_callback |
| typedef class |
svt_uvm_cmd_assistant |
| typedef class |
svt_uvm_cmd_assistant_report_catcher |
| typedef class |
svt_vip_writer |
| typedef class |
svt_xml_writer |
| typedef enum |
uvm_access_e |
| typedef enum |
uvm_action_type |
| typedef enum |
uvm_active_passive_enum |
| typedef enum |
uvm_apprepend |
| typedef class |
uvm_barrier |
| typedef class |
uvm_barrier_pool |
| typedef class |
uvm_build_phase |
| typedef class |
uvm_callback |
| typedef class |
uvm_callbacks_base |
| typedef class |
uvm_callbacks_objection |
| typedef enum |
uvm_check_e |
| typedef class |
uvm_check_phase |
| typedef class |
uvm_cmdline_processor |
| typedef class |
uvm_comparer |
| typedef class |
uvm_component |
| typedef class |
uvm_config_db_options |
| typedef class |
uvm_config_seq |
| typedef class |
uvm_config_wrapper |
| typedef class |
uvm_configure_phase |
| typedef class |
uvm_config_int |
| typedef class |
uvm_config_object |
| typedef class |
uvm_config_string |
| typedef class |
uvm_connect_phase |
| typedef enum |
uvm_coverage_model_e |
| typedef class |
uvm_default_driver_type |
| typedef class |
uvm_default_sequence_type |
| typedef class |
uvm_default_sequencer_param_type |
| typedef class |
uvm_default_sequencer_type |
| typedef class |
uvm_domain |
| typedef enum |
uvm_elem_kind_e |
| typedef class |
uvm_end_of_elaboration_phase |
| typedef enum |
uvm_endianness_e |
| typedef class |
uvm_env |
| typedef class |
uvm_event |
| typedef class |
uvm_event_pool |
| typedef class |
uvm_extract_phase |
| typedef class |
uvm_factory_override |
| typedef class |
uvm_final_phase |
| typedef struct |
uvm_hdl_path_slice |
| typedef class |
uvm_heartbeat_callback |
| typedef class |
uvm_heartbeat_cbs_t |
| typedef enum |
uvm_heartbeat_modes |
| typedef enum |
uvm_hier_e |
| typedef class |
uvm_id_actions_array |
| typedef class |
uvm_id_file_array |
| typedef class |
uvm_id_verbosities_array |
| typedef class |
uvm_line_printer |
| typedef class |
uvm_main_phase |
| typedef class |
uvm_mem |
| typedef class |
uvm_mem_access_seq |
| typedef class |
uvm_mem_cb |
| typedef class |
uvm_mem_cb_iter |
| typedef class |
uvm_mem_mam |
| typedef class |
uvm_mem_mam_cfg |
| typedef class |
uvm_mem_mam_policy |
| typedef class |
uvm_mem_region |
| typedef class |
uvm_object |
| typedef class |
uvm_objection_callback |
| typedef class |
uvm_objection_cbs_t |
| typedef class |
uvm_objection_context_object |
| typedef enum |
uvm_objection_event |
| typedef class |
uvm_object_wrapper |
| typedef class |
uvm_objection |
| typedef class |
uvm_packer |
| typedef enum |
uvm_path_e |
| typedef class |
uvm_phase |
| typedef enum |
uvm_phase_state |
| typedef enum |
uvm_phase_transition |
| typedef enum |
uvm_phase_type |
| typedef class |
uvm_port_component_base |
| typedef class |
uvm_port_list |
| typedef enum |
uvm_port_type_e |
| typedef class |
uvm_post_configure_phase |
| typedef class |
uvm_post_main_phase |
| typedef class |
uvm_post_reset_phase |
| typedef class |
uvm_post_shutdown_phase |
| typedef enum |
uvm_predict_e |
| typedef class |
uvm_pre_configure_phase |
| typedef class |
uvm_pre_main_phase |
| typedef class |
uvm_pre_reset_phase |
| typedef class |
uvm_pre_shutdown_phase |
| typedef class |
uvm_printer |
| typedef class |
uvm_printer_knobs |
| typedef struct |
uvm_printer_row_info |
| typedef enum |
uvm_radix_enum |
| typedef class |
uvm_recorder |
| typedef enum |
uvm_recursion_policy_enum |
| typedef class |
uvm_reg |
| typedef class |
uvm_reg_adapter |
| typedef class |
uvm_reg_backdoor |
| typedef class |
uvm_reg_bd_cb |
| typedef class |
uvm_reg_bd_cb_iter |
| typedef class |
uvm_reg_block |
| typedef struct |
uvm_reg_bus_op |
| typedef class |
uvm_reg_cb |
| typedef class |
uvm_reg_cb_iter |
| typedef class |
uvm_reg_cbs |
| typedef class |
uvm_reg_cvr_rsrc_db |
| typedef class |
uvm_reg_field |
| typedef class |
uvm_reg_field_cb |
| typedef class |
uvm_reg_field_cb_iter |
| typedef class |
uvm_reg_file |
| typedef class |
uvm_reg_frontdoor |
| typedef class |
uvm_reg_indirect_data |
| typedef class |
uvm_reg_indirect_ftdr_seq |
| typedef class |
uvm_reg_item |
| typedef class |
uvm_reg_map |
| typedef struct |
uvm_reg_map_addr_range |
| typedef class |
uvm_reg_map_info |
| typedef enum |
uvm_reg_mem_tests_e |
| typedef class |
uvm_reg_sequence |
| typedef class |
uvm_report_catcher |
| typedef class |
uvm_report_cb |
| typedef class |
uvm_report_cb_iter |
| typedef class |
uvm_report_handler |
| typedef class |
uvm_report_object |
| typedef class |
uvm_report_phase |
| typedef class |
uvm_report_server |
| typedef class |
uvm_reset_phase |
| typedef class |
uvm_resource_base |
| typedef class |
uvm_resource_db_options |
| typedef class |
uvm_root |
| typedef class |
uvm_run_phase |
| typedef enum |
uvm_sequencer_arb_mode |
| typedef enum |
uvm_sequence_lib_mode |
| typedef class |
uvm_sequence_library_cfg |
| typedef class |
uvm_sequence_request |
| typedef enum |
uvm_sequence_state |
| typedef class |
uvm_sequencer_base |
| typedef class |
uvm_sequence_base |
| typedef class |
uvm_sequence_item |
| typedef enum |
uvm_severity_type |
| typedef class |
uvm_sev_override_array |
| typedef class |
uvm_shutdown_phase |
| typedef class |
uvm_start_of_simulation_phase |
| typedef class |
uvm_status_container |
| typedef enum |
uvm_status_e |
| typedef class |
uvm_table_printer |
| typedef class |
uvm_table_printer_knobs |
| typedef class |
uvm_task_phase |
| typedef class |
uvm_test_done_objection |
| typedef enum |
uvm_tlm_command_e |
| typedef class |
uvm_tlm_event |
| typedef class |
uvm_tlm_extension_base |
| typedef class |
uvm_tlm_gp |
| typedef enum |
uvm_tlm_phase_e |
| typedef enum |
uvm_tlm_response_status_e |
| typedef enum |
uvm_tlm_sync_e |
| typedef class |
uvm_tree_printer |
| typedef class |
uvm_tree_printer_knobs |
| typedef enum |
uvm_verbosity |
| typedef class |
uvm_virtual_sequencer |
| typedef class |
uvm_vreg |
| typedef class |
uvm_vreg_cb |
| typedef class |
uvm_vreg_cbs |
| typedef class |
uvm_vreg_cb_iter |
| typedef class |
uvm_vreg_field |
| typedef class |
uvm_vreg_field_cb |
| typedef class |
uvm_vreg_field_cbs |
| typedef class |
uvm_vreg_field_cb_iter |
| typedef enum |
uvm_wait_op |