VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-B SVT UVM Documentation - index - d

DAT
enum value
member of svt_chi_common_transaction :: flit_type_enum
dat_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_tx_dat_flit
dat_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_rx_dat_flit
dat_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_sn_protocol_monitor :: write_sn_tx_dat_flit
dat_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_sn_protocol_monitor :: write_sn_rx_dat_flit
dat_flit
task arg
arg type svt_chi_flit, defined in task svt_chi_interconnect :: put_rn_dat_flit
dat_flit
task arg
arg type svt_chi_flit, defined in task svt_chi_interconnect :: put_sn_dat_flit
dat_flit_dbid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
dat_flit_end_time
function arg
arg type output real, defined in function svt_chi_common_transaction :: get_dat_timing_info
dat_flit_reordering_algorithm
attribute
attribute type rand svt_chi_node_configuration :: chi_reordering_algorithm_enum, defined in class svt_chi_node_configuration
dat_flit_reordering_depth
attribute
attribute type rand int unsigned, defined in class svt_chi_node_configuration
dat_flit_rsvdc_width
attribute
attribute type rand int, defined in class svt_chi_node_configuration
dat_lcrd_suspend_resume_status
attribute
attribute type svt_chi_link_status :: lcrd_suspend_resume_status_enum, defined in class svt_chi_link_status
dat_msg_arr
function arg
arg type output svt_chi_common_transaction :: dat_msg_type_enum, defined in function svt_chi_common_transaction :: get_dat_timing_info
dat_msg_type_enum
enum typedef
defined in class svt_chi_common_transaction
dat_rsvdc
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_RSVDC_WIDTH-1):0], defined in class svt_chi_transaction
dat_rsvdc
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_RSVDC_WIDTH-1):0], defined in class svt_chi_snoop_transaction
dat_rsvdc
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_RSVDC_WIDTH-1):0], defined in class svt_chi_flit
dat_vc_flit_opcode
attribute
attribute type rand svt_chi_common_transaction :: dat_msg_type_enum, defined in class svt_chi_flit
dat_vc_idle_val
attribute
attribute type rand svt_chi_node_configuration :: idle_val_enum, defined in class svt_chi_node_configuration
data
function arg
arg type uvm_object, defined in function uvm_event :: trigger
data
task arg
arg type output uvm_object, defined in task uvm_event :: wait_trigger_data
data
task arg
arg type output uvm_object, defined in task uvm_event :: wait_ptrigger_data
data
function arg
arg type uvm_object, defined in function uvm_event_callback :: pre_trigger
data
function arg
arg type uvm_object, defined in function uvm_event_callback :: post_trigger
data
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: sample
data
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: XsampleX
data
task arg
arg type input uvm_reg_data_t, defined in task uvm_reg_block :: write_reg_by_name
data
task arg
arg type output uvm_reg_data_t, defined in task uvm_reg_block :: read_reg_by_name
data
task arg
arg type input uvm_reg_data_t, defined in task uvm_reg_block :: write_mem_by_name
data
task arg
arg type output uvm_reg_data_t, defined in task uvm_reg_block :: read_mem_by_name
data
function arg
arg type ref uvm_reg_data_t, defined in function uvm_reg_cbs :: encode
data
function arg
arg type ref uvm_reg_data_t, defined in function uvm_reg_cbs :: decode
data
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base_iter :: find
data
function arg
arg type uvm_object, defined in function svt_event_pool :: trigger_event
data
attribute
attribute type svt_sequence_item_base, defined in class svt_sequence_item_base_queue
data
function arg
arg type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_word :: write
data
function arg
arg type bit [(SVT_MEM_MAX_DATA_WIDTH-1):0], defined in function svt_mem :: write
data
function arg
arg type output bit [7:0] , defined in function svt_axi_cache_line :: read
data
function arg
arg type bit [7:0] , defined in function svt_axi_cache_line :: write
data
function arg
arg type output bit [7:0] , defined in function svt_axi_cache :: read_by_index
data
function arg
arg type output bit [7:0] , defined in function svt_axi_cache :: read_line_by_index
data
function arg
arg type output bit [7:0] , defined in function svt_axi_cache :: read_by_addr
data
function arg
arg type output bit [7:0] , defined in function svt_axi_cache :: read_line_by_addr
data
function arg
arg type bit [7:0] , defined in function svt_axi_cache :: write
data
function arg
arg type bit [7:0] , defined in function svt_axi_cache :: backdoor_write
data
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_transaction
data
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_FLIT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_flit
data
function arg
arg type bit [(SVT_CHI_MAX_DATA_WIDTH-1):0], defined in function svt_chi_interconnect_env :: write_to_icn_mem
data_10bit
attribute
attribute type rand bit [9:0] , defined in class svt_8b10b_data
data_8bit
attribute
attribute type rand bit [7:0] , defined in class svt_8b10b_data
data_channel_transmission_policy_enum
enum typedef
defined in class svt_chi_common_transaction
data_check
function arg
arg type bit, defined in function svt_axi_cache :: set_data_check
data_check
function arg
arg type output bit, defined in function svt_axi_cache :: get_data_check
data_check_passed
function arg
arg type bit, defined in function svt_axi_cache :: set_data_check_passed
data_check_passed
function arg
arg type output bit, defined in function svt_axi_cache :: get_data_check_passed
data_check_passed_str
function arg
arg type output string, defined in function svt_axi_cache :: get_data_check_passed
data_check_str
function arg
arg type output string, defined in function svt_axi_cache :: get_data_check
DATA_ERROR
enum value
member of svt_chi_common_transaction :: resp_err_status_enum
data_flit_compdata_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_copybackwrdata_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_lcrdreturn_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_noncopybackwrdata_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_snprespdata_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_snprespdatafwded_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_snprespdataptl_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_flit_writedatacancel_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
data_format
attribute
attribute type rand svt_chi_node_configuration :: chi_data_format_enum, defined in class svt_chi_node_configuration
data_gen_type
attribute
attribute type rand svt_traffic_profile_transaction :: attr_val_type_enum, defined in class svt_traffic_profile_transaction
data_in
function arg
arg type input bit [7:0] , defined in function svt_data_converter :: encode_8b10b_data
data_in
function arg
arg type input bit [9:0] , defined in function svt_data_converter :: decode_8b10b_data
data_in
function arg
arg type input bit [7:0] , defined in function svt_sequence_item :: encode_8b10b_data
data_in
function arg
arg type input bit [9:0] , defined in function svt_sequence_item :: decode_8b10b_data
data_in_cache
attribute
attribute type rand bit [511:0] , defined in class svt_chi_rn_exclusive_access_sequence
data_injected
attribute
attribute type bit, defined in class svt_exception_list
data_k
function arg
arg type input bit, defined in function svt_data_converter :: encode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_data_converter :: decode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_data_converter :: get_code_group
data_k
function arg
arg type input bit, defined in function svt_sequence_item :: encode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_sequence_item :: decode_8b10b_data
data_k
attribute
attribute type rand bit, defined in class svt_8b10b_data
data_max
attribute
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction
data_min
attribute
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction
DATA_NON_ZERO
enum value
member of svt_chi_common_transaction :: data_pattern_enum
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_sequence_item_base :: get_prop_val
data_obj
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base :: display_get_prop_val_outcome
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_exception_list :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_err_check_stats :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_err_check :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_sequence_item :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_8b10b_data :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_mem :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_fifo_rate_control_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_fifo_rate_control :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_traffic_profile_transaction :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_amba_addr_mapper :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_amba_fifo_rate_control_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_axi_cache :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_hn_addr_range :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_system_domain_item :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_address_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_protocol_service :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_link_service :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_common_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_base_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_snoop_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_flit_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_rn_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_sn_transaction_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_chi_system_monitor_system_data :: get_prop_val
data_out
function arg
arg type output bit [9:0] , defined in function svt_data_converter :: encode_8b10b_data
data_out
function arg
arg type output bit [7:0] , defined in function svt_data_converter :: decode_8b10b_data
data_out
function arg
arg type output bit [9:0] , defined in function svt_sequence_item :: encode_8b10b_data
data_out
function arg
arg type output bit [7:0] , defined in function svt_sequence_item :: decode_8b10b_data
data_pattern_enum
enum typedef
defined in class svt_chi_common_transaction
data_pull
attribute
attribute type rand bit [(SVT_CHI_DATA_PULL_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull
attribute
attribute type rand bit [(SVT_CHI_DATA_PULL_WIDTH-1):0], defined in class svt_chi_flit
data_pull_datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull_dbid
attribute
attribute type rand bit [(SVT_CHI_DBID_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull_is_dmt_used
attribute
attribute type bit, defined in class svt_chi_snoop_transaction
data_pull_poison
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull_read_data
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull_req_accept_realtime
attribute
attribute type realtime, defined in class svt_chi_snoop_transaction
data_pull_request_trace_tag
attribute
attribute type rand bit, defined in class svt_chi_snoop_transaction
data_pull_resp_final_state
attribute
attribute type rand svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_snoop_transaction
data_pull_resp_pass_dirty
attribute
attribute type rand bit, defined in class svt_chi_snoop_transaction
data_pull_response_resp_err_status
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_snoop_transaction
data_pull_txn_id
attribute
attribute type rand bit [(SVT_CHI_XACT_TXN_ID_WIDTH-1):0], defined in class svt_chi_snoop_transaction
data_pull_value_override_reason
attribute
attribute type svt_chi_snoop_transaction :: data_pull_value_override_reason_enum, defined in class svt_chi_snoop_transaction
data_pull_value_override_reason_enum
enum typedef
defined in class svt_chi_snoop_transaction
data_reg
function arg
arg type uvm_reg, defined in function uvm_reg_indirect_ftdr_seq :: new
data_resp_err_status
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
data_resp_err_status
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_snoop_transaction
data_size
attribute
attribute type rand svt_chi_common_transaction :: data_size_enum, defined in class svt_chi_base_transaction
data_size_enum
enum typedef
defined in class svt_chi_common_transaction
data_source
attribute
attribute type rand svt_chi_common_transaction :: data_source_enum, defined in class svt_chi_common_transaction
data_source_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
data_source_enum
enum typedef
defined in class svt_chi_common_transaction
DATA_SOURCE_IMPLEMENTATION_DEFINED_0
enum value
member of svt_chi_common_transaction :: data_source_enum
DATA_SOURCE_IMPLEMENTATION_DEFINED_1
enum value
member of svt_chi_common_transaction :: data_source_enum
DATA_SOURCE_IMPLEMENTATION_DEFINED_2
enum value
member of svt_chi_common_transaction :: data_source_enum
DATA_SOURCE_IMPLEMENTATION_DEFINED_3
enum value
member of svt_chi_common_transaction :: data_source_enum
DATA_SOURCE_IMPLEMENTATION_DEFINED_4
enum value
member of svt_chi_common_transaction :: data_source_enum
data_source_supported_by_interconnect
attribute
attribute type bit, defined in class svt_chi_system_configuration
DATA_SOURCE_UNSUPPORTED
enum value
member of svt_chi_common_transaction :: data_source_enum
data_to_pack
function arg
arg type input bit [SVT_CHI_XACT_MAX_DATA_WIDTH-1:0], defined in function svt_chi_transaction :: pack_data_to_byte_stream
data_to_unpack
function arg
arg type input bit [7:0] , defined in function svt_chi_transaction :: unpack_byte_stream_to_data
data_to_unpack
function arg
arg type input bit [7:0] , defined in function svt_chi_transaction :: unpack_byte_stream_to_atomic_read_data
data_wdth
attribute
attribute type int, defined in class svt_mem
data_wdth
function arg
arg type int, defined in function svt_mem :: new
data_wdth
function arg
arg type int, defined in function svt_mem :: save_mem
data_wdth
function arg
arg type int, defined in function svt_mem :: load_mem
data_wdth
function arg
arg type int, defined in function svt_chi_memory :: new
DATA_ZERO
enum value
member of svt_chi_common_transaction :: data_pattern_enum
datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_snoop_transaction
datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_FLIT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_flit
datacheck_computation_logic
attribute
attribute type svt_chi_node_configuration :: datacheck_computation_logic_enum, defined in class svt_chi_node_configuration
datacheck_computation_logic_enum
enum typedef
defined in class svt_chi_node_configuration
datacheck_computed_on_received_data
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
datacheck_computed_on_received_data
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_snoop_transaction
datacheck_daterror_computed_value
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
datacheck_daterror_computed_value
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_snoop_transaction
datacheck_supported_by_interconnect
attribute
attribute type svt_chi_node_configuration :: datacheck_type_enum, defined in class svt_chi_system_configuration
datacheck_type
attribute
attribute type svt_chi_node_configuration :: datacheck_type_enum, defined in class svt_chi_node_configuration
datacheck_type_enum
enum typedef
defined in class svt_chi_node_configuration
DATAPULL_NOT_SUPPORTED_BY_HN
enum value
member of svt_chi_snoop_transaction :: data_pull_value_override_reason_enum
DATLINKFLIT
enum value
member of svt_chi_common_transaction :: dat_msg_type_enum
dbid
attribute
attribute type rand bit [(SVT_CHI_DBID_WIDTH-1):0], defined in class svt_chi_common_transaction
dbid_in_snoop_response_with_data_pull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
dbid_policy
attribute
attribute type rand svt_chi_common_transaction :: dbid_policy_enum, defined in class svt_chi_transaction
dbid_policy
attribute
attribute type rand svt_chi_common_transaction :: dbid_policy_enum, defined in class svt_chi_snoop_transaction
dbid_policy_enum
enum typedef
defined in class svt_chi_common_transaction
dbid_to_comp_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
dbid_value_must_be_same_across_read_data_flits_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
DBIDRESP
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
DBIDRESP_AFTER_COMPDATA
enum value
member of svt_chi_sn_transaction :: atomic_compdata_order_policy_enum
DBIDRESP_BEFORE_COMPDATA
enum value
member of svt_chi_sn_transaction :: atomic_compdata_order_policy_enum
DBIDRESP_COMP
enum value
member of svt_chi_transaction :: comp_dbid_responses_order_enum
DBIDRESP_WITH_COMPDATA
enum value
member of svt_chi_sn_transaction :: atomic_compdata_order_policy_enum
DBIDRESPORD_COMP
enum value
member of svt_chi_transaction :: comp_dbid_responses_order_enum
dc_mask
task arg
arg type uvm_reg_data_t, defined in task uvm_reg_single_bit_bash_seq :: bash_kth_bit
dct_enable
function arg
arg type bit, defined in function svt_chi_system_configuration :: set_hn_dct_enable
DEACTIVATE
enum value
member of svt_chi_link_service :: service_type_enum
dead_end_is_ok
task arg
arg type input bit, defined in task svt_fsm_state_base :: m_goto_next_state
DEBUG
enum value
member of svt_types :: severity_enum
DEBUG
enum value
member of svt_err_check_stats :: fail_effect_enum
debug_connected_to
function
function, defined in class uvm_port_base,  returns type void
debug_create_by_name
function
function, defined in class uvm_factory,  returns type void
debug_create_by_type
function
function, defined in class uvm_factory,  returns type void
debug_enable
function arg
arg type input bit, defined in function svt_chi_system_configuration :: is_three_sn_f_striping_enable_valid
debug_enable
function arg
arg type input bit, defined in function svt_chi_system_configuration :: is_six_sn_f_striping_enable_valid
debug_port_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
debug_prop
attribute
attribute type debug_prop_struct, defined in class svt_debug_vip_descriptor
debug_prop_struct
struct typedef
defined in class svt_debug_vip_descriptor
debug_provided_to
function
function, defined in class uvm_port_base,  returns type void
debug_report_catcher
function
function, defined in class uvm_report_catcher,  returns type void
dec_radix
attribute
attribute type string, defined in class uvm_printer_knobs
DECERR
enum value
member of svt_amba_pv :: resp_t
decode
function
function, defined in class uvm_reg_cbs,  returns type void
decode_8b10b_data
function
function, defined in class svt_data_converter,  returns type bit
decode_8b10b_data
function
function, defined in class svt_sequence_item,  returns type bit
decode_data
function
function, defined in class svt_8b10b_data,  returns type bit
decode_pattern_data
function
function, defined in class svt_sequence_item_base,  returns type bit
decode_prop_val
function
function, defined in class svt_sequence_item_base,  returns type bit
decode_prop_val
function
function, defined in class svt_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_err_check_stats,  returns type bit
decode_prop_val
function
function, defined in class svt_sequence_item,  returns type bit
decode_prop_val
function
function, defined in class svt_8b10b_data,  returns type bit
decode_prop_val
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
decode_prop_val
function
function, defined in class svt_amba_addr_mapper,  returns type bit
decode_prop_val
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_axi_cache,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_address_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_protocol_service,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_link_service,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_common_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_base_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_flit_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_rn_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_sn_transaction_exception,  returns type bit
decode_prop_val
function
function, defined in class svt_chi_system_monitor_system_data,  returns type bit
decr
function
function, defined in class uvm_tlm_time,  returns type void
DECR
enum value
member of uvm_mem :: init_e
DECR
enum value
member of svt_mem :: meminit_enum
DEEP
enum value
member of svt_sequence_item_base :: recursive_op_enum
DEFAULT
enum value
member of svt_err_check_stats :: fail_effect_enum
DEFAULT
enum value
member of svt_chi_link_status :: link_activity_type_enum
DEFAULT
enum value
member of svt_chi_ic_dvm_snoop_transaction_random_sequence :: select_mode_enum
default_alloc
attribute
attribute type uvm_mem_mam_policy, defined in class uvm_mem_mam
default_fail_effect
attribute
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats
default_fail_effect
function arg
arg type svt_err_check_stats :: fail_effect_enum, defined in function svt_err_check_stats :: new
default_fail_effect
function arg
arg type svt_err_check_stats :: fail_effect_enum, defined in function svt_err_check :: register
default_file_handle
attribute
attribute type UVM_FILE, defined in class uvm_report_handler
default_implementation_xact_factory
attribute
attribute type svt_sequence_item, defined in class svt_sequence_item
default_map
attribute
attribute type uvm_reg_map, defined in class uvm_reg_block
default_pass_effect
attribute
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats
default_path
attribute
attribute type uvm_path_e, defined in class uvm_reg_block
default_precedence
attribute
attribute type static int unsigned, defined in class uvm_resource_base
default_radix
attribute
attribute type uvm_radix_enum, defined in class uvm_recorder
default_radix
attribute
attribute type uvm_radix_enum, defined in class uvm_printer_knobs
DEFAULT_REQ
enum value
member of svt_chi_transaction :: xact_flow_category_enum
default_sequence
attribute
attribute type protected string, defined in class uvm_sequencer_base
DEFAULT_SPEC_VERSION
enum value
member of svt_chi_node_configuration :: dvm_version_support_enum
define_access
function
function, defined in class uvm_reg_field,  returns type bit
define_domain
function
function, defined in class uvm_component,  returns type void
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_if :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_if :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_if :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_imp :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_imp :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_imp :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_port :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_port :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_port :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_export :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_export :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_export :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_initiator_socket_base :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_target_socket_base :: nb_transport_bw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_initiator_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_bw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_passthrough_initiator_socket_base :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_passthrough_target_socket_base :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_target_socket :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_target_socket :: nb_transport_fw
delay_value
task arg
arg type real, defined in task svt_timer :: do_delay
delays_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
delete
function
function, defined in class uvm_copy_map,  returns type void
delete
function
function, defined in class uvm_pool,  returns type void
delete
function
function, defined in class uvm_object_string_pool,  returns type void
delete
function
function, defined in class uvm_queue,  returns type void
delete
function
function, defined in class uvm_callbacks,  returns type void
delete
function arg
arg type bit, defined in function uvm_reg_field :: has_reset
delete
function arg
arg type bit, defined in function uvm_reg :: has_reset
delete_by_name
function
function, defined in class uvm_callbacks,  returns type void
delete_callback
function
function, defined in class uvm_event,  returns type void
delete_cov
function
function, defined in class svt_err_check_stats,  returns type void
delete_reqs
function
function, defined in class svt_dispatch_sequence,  returns type void
delete_reservation_for_addr
function
function, defined in class svt_axi_cache,  returns type int
delete_reservations
function
function, defined in class svt_axi_cache,  returns type void
delimiter
function arg
arg type input string, defined in function svt_sequence_item_base :: decode_pattern_data
delta
task arg
arg type bit, defined in task uvm_event :: wait_on
delta
task arg
arg type bit, defined in task uvm_event :: wait_off
delta
task arg
arg type bit, defined in task svt_controlled_event :: wait_on
delta
task arg
arg type bit, defined in task svt_controlled_event :: wait_off
demoted_id_count
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_id_limit
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_messages_count
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_messages_limit
attribute
attribute type protected int, defined in class svt_err_catcher
depth
attribute
attribute type int, defined in class uvm_comparer
depth
function
function, defined in class uvm_scope_stack,  returns type int
depth
attribute
attribute type int, defined in class uvm_printer_knobs
depth
function arg
arg type int, defined in function svt_sequence_item_report :: record_xact_impl
depth
function arg
arg type int, defined in function svt_sequence_item_report :: record_xact_trace
desc
function arg
arg type string, defined in function uvm_recorder :: begin_tr
desc
function arg
arg type string, defined in function uvm_component :: begin_tr
desc
function arg
arg type string, defined in function uvm_component :: begin_child_tr
desc
function arg
arg type string, defined in function uvm_component :: record_error_tr
desc
function arg
arg type string, defined in function uvm_component :: record_event_tr
desc
function arg
arg type string, defined in function uvm_component :: m_begin_tr
DESCENDING_DATAID
enum value
member of svt_chi_common_transaction :: tx_ccf_wrap_order_false_dat_flit_order_enum
descr
attribute
attribute type string, defined in class svt_err_check_report_catcher
description
function arg
arg type string, defined in function uvm_component :: raised
description
function arg
arg type string, defined in function uvm_component :: dropped
description
task arg
arg type string, defined in task uvm_component :: all_dropped
description
function arg
arg type string, defined in function uvm_objection :: m_report
description
function arg
arg type string, defined in function uvm_objection :: m_propagate
description
function arg
arg type string, defined in function uvm_objection :: raise_objection
description
function arg
arg type string, defined in function uvm_objection :: m_raise
description
function arg
arg type string, defined in function uvm_objection :: drop_objection
description
function arg
arg type string, defined in function uvm_objection :: m_drop
description
function arg
arg type string, defined in function uvm_objection :: raised
description
function arg
arg type string, defined in function uvm_objection :: dropped
description
task arg
arg type string, defined in task uvm_objection :: m_forked_drain
description
task arg
arg type string, defined in task uvm_objection :: all_dropped
description
function arg
arg type string, defined in function uvm_phase :: raise_objection
description
function arg
arg type string, defined in function uvm_phase :: drop_objection
description
function arg
arg type string, defined in function uvm_test_done_objection :: qualify
description
function arg
arg type string, defined in function uvm_test_done_objection :: raise_objection
description
function arg
arg type string, defined in function uvm_test_done_objection :: drop_objection
description
task arg
arg type string, defined in task uvm_test_done_objection :: all_dropped
description
attribute
attribute type string, defined in class uvm_objection_context_object
description
function arg
arg type string, defined in function uvm_objection_callback :: raised
description
function arg
arg type string, defined in function uvm_objection_callback :: dropped
description
task arg
arg type string, defined in task uvm_objection_callback :: all_dropped
description
function arg
arg type string, defined in function uvm_callbacks_objection :: raised
description
function arg
arg type string, defined in function uvm_callbacks_objection :: dropped
description
task arg
arg type string, defined in task uvm_callbacks_objection :: all_dropped
description
function arg
arg type string, defined in function uvm_heartbeat_callback :: raised
description
function arg
arg type string, defined in function uvm_heartbeat_callback :: dropped
description
attribute
attribute type protected string, defined in class svt_err_check_stats
description
function arg
arg type string, defined in function svt_err_check_stats :: new
description
function arg
arg type string, defined in function svt_err_check :: register
details
function arg
arg type output string, defined in function svt_chi_system_configuration :: is_three_sn_f_striping_enable_valid
details
function arg
arg type output string, defined in function svt_chi_system_configuration :: is_six_sn_f_striping_enable_valid
details
function arg
arg type output string, defined in function svt_chi_system_configuration :: get_three_sn_f_striping_addressable_space
details
function arg
arg type output string, defined in function svt_chi_system_configuration :: get_six_sn_f_striping_addressable_space
detect_hazards_for_ooo_interconnect
function
function, defined in class svt_chi_system_transaction,  returns type int
DEVICE
enum value
member of svt_chi_common_transaction :: mem_attr_mem_type_enum
device_xact_sent_from_interleaved_port
attribute
attribute type bit, defined in class svt_chi_node_configuration
die
function
function, defined in class uvm_report_object,  returns type void
direct_addr_timeout
attribute
attribute type real, defined in class svt_chi_rn_coherent_transaction_base_sequence
direct_address_used
task arg
arg type bit, defined in task svt_chi_system_coherent_virtual_sequence :: send_coherent_transactions_from_rn
DIRECT_MAPPED
enum value
member of svt_axi_cache :: cache_structure_enum
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,  returns type void
direct_override
function
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,  returns type void
direct_override
function
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,  returns type void
direct_override
function
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,  returns type void
directed_addr
task arg
arg type output bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_addr_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
DIRECTED_ADDR_RANGE_RAND_TYPE
enum value
member of svt_chi_rn_transaction_base_sequence :: hn_addr_rand_type_enum
directed_allocate_in_cache
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_allocate_in_cache
task arg
arg type bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_allocate_in_cache
task arg
arg type bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_allocate_in_cache
task arg
arg type bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_allocate_in_cache_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
directed_byte_enable
task arg
arg type output bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_byte_enable
task arg
arg type bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_byte_enable
task arg
arg type bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_byte_enable
task arg
arg type bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_byte_enable_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
directed_data
task arg
arg type output bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_data
task arg
arg type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_data
task arg
arg type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_data
task arg
arg type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
DIRECTED_DATA_CHANNEL
enum value
member of svt_chi_common_transaction :: data_channel_transmission_policy_enum
directed_data_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
directed_data_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_go_noncoherent_sequence
directed_data_size
task arg
arg type output svt_chi_common_transaction :: data_size_enum, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_data_size
task arg
arg type svt_chi_common_transaction :: data_size_enum, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_data_size
task arg
arg type svt_chi_common_transaction :: data_size_enum, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_data_size
task arg
arg type svt_chi_common_transaction :: data_size_enum, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_data_size_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
DIRECTED_EXCLUSIVE_SEQUENCE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
DIRECTED_EXCLUSIVE_SEQUENCE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
DIRECTED_EXCLUSIVE_SEQUENCE_WITH_LOAD_STORE_RETRIED
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
DIRECTED_HN_NODE_IDX_RAND_TYPE
enum value
member of svt_chi_rn_transaction_base_sequence :: hn_addr_rand_type_enum
directed_is_non_secure_access
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_is_non_secure_access
task arg
arg type bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_is_non_secure_access
task arg
arg type bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_is_non_secure_access
task arg
arg type bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_is_non_secure_access_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
DIRECTED_MAX_OUTSTANDING_SNPDVMOP_NON_SYNC
enum value
member of svt_chi_ic_dvm_snoop_transaction_random_sequence :: select_mode_enum
directed_mem_attr_allocate_hint
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_mem_attr_allocate_hint
task arg
arg type bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_mem_attr_allocate_hint
task arg
arg type bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_mem_attr_allocate_hint
task arg
arg type bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_mem_attr_allocate_hint_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
DIRECTED_REQ_CHANNEL
enum value
member of svt_chi_common_transaction :: request_channel_transmission_policy_enum
DIRECTED_RESP_CHANNEL
enum value
member of svt_chi_common_transaction :: response_channel_transmission_policy_enum
directed_snp_attr_is_snoopable
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_snp_attr_is_snoopable
task arg
arg type bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_snp_attr_is_snoopable
task arg
arg type bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_snp_attr_is_snoopable
task arg
arg type bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_snp_attr_is_snoopable_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
directed_snp_attr_snp_domain_type
task arg
arg type output svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
directed_snp_attr_snp_domain_type
task arg
arg type svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
directed_snp_attr_snp_domain_type
task arg
arg type svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
directed_snp_attr_snp_domain_type
task arg
arg type svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
directed_snp_attr_snp_domain_type_mailbox
attribute
attribute type mailbox, defined in class svt_chi_rn_coherent_transaction_base_sequence
DIRECTED_SNP_CHANNEL
enum value
member of svt_chi_common_transaction :: snoop_channel_transmission_policy_enum
directed_store_data
attribute
attribute type store_data_type, defined in class svt_chi_rn_go_noncoherent_sequence
direction_type
attribute
attribute type svt_amba_addr_mapper :: direction_type_enum, defined in class svt_amba_addr_mapper
direction_type_enum
enum typedef
defined in class svt_amba_addr_mapper
dirty
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_pass_dirty
dirty_byte_flag
function arg
arg type output bit, defined in function svt_axi_cache :: read_line_by_index
dirty_byte_flag
function arg
arg type output bit, defined in function svt_axi_cache :: read_line_by_addr
disable_all_weights
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type void
disable_check
function
function, defined in class svt_err_check,  returns type void
disable_checks
function
function, defined in class svt_err_check,  returns type int
disable_checks_cov
function
function, defined in class svt_err_check,  returns type int
disable_cov_group
function arg
arg type string, defined in function svt_err_check :: disable_checks_cov
disable_cov_sub_group
function arg
arg type string, defined in function svt_err_check :: disable_checks_cov
disable_group
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_group
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
disable_recording
function
function, defined in class uvm_transaction,  returns type void
disable_sub_group
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_sub_group
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
disable_unique_id
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_unique_id
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
DISABLED
enum value
member of svt_sequence_item :: status_enum
DISABLED_NEXT
enum value
member of svt_fsm_state_base :: state_transition_options_enum
disp_in
function arg
arg type logic, defined in function svt_data_converter :: is_valid_10b
disp_in
function arg
arg type logic, defined in function svt_data_converter :: is_valid_K8b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_D10b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_K10b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_8b
disp_in
function arg
arg type logic, defined in function svt_8b10b_data :: is_valid_10b
disp_in
function arg
arg type logic, defined in function svt_8b10b_data :: is_valid_K8b
dispatch
task
defined in class svt_dispatch_sequence
dispatch_semaphore
attribute
attribute type protected semaphore, defined in class svt_dispatch
dispatch_seq
attribute
attribute type protected svt_dispatch_sequence, defined in class svt_dispatch
display
function
function, defined in class uvm_typed_callbacks,  returns type void
display
function
function, defined in class uvm_callbacks,  returns type void
display_checked_out_features
function
function, defined in class svt_agent,  returns type void
display_checked_out_features
function
function, defined in class svt_env,  returns type void
display_get_prop_val_outcome
function
function, defined in class svt_sequence_item_base,  returns type void
display_kind
attribute
attribute type static int, defined in class svt_sequence_item_base
display_objections
function
function, defined in class uvm_objection,  returns type void
display_perf_summary_report
attribute
attribute type bit, defined in class svt_chi_system_configuration
display_set_prop_val_outcome
function
function, defined in class svt_sequence_item_base,  returns type void
display_summary_report
attribute
attribute type bit, defined in class svt_chi_system_configuration
dmi
function arg
arg type bit, defined in function uvm_tlm_generic_payload :: set_dmi_allowed
dmt_after_snoop_xacts_completion_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
dmt_enable
function arg
arg type bit, defined in function svt_chi_system_configuration :: set_hn_dmt_enable
dmt_used_by_hn_with_dmt_enabled_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
do_accept_tr
function
function, defined in class uvm_component,  returns type void
do_accept_tr
function
function, defined in class uvm_transaction,  returns type void
do_allocate_pattern
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_exception,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_exception_list,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_err_check_stats,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_sequence_item,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_8b10b_data,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_mem,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_fifo_rate_control_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_fifo_rate_control,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_traffic_profile_transaction,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_amba_addr_mapper,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_axi_cache,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_chi_hn_addr_range,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_chi_address_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_chi_protocol_service,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_chi_link_service,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_chi_system_monitor_system_data,  returns type svt_pattern
do_begin_tr
function
function, defined in class uvm_component,  returns type void
do_begin_tr
function
function, defined in class uvm_transaction,  returns type void
do_block
task
defined in class uvm_reg_bit_bash_seq
do_block
task
defined in class uvm_mem_walk_seq
do_block
task
defined in class uvm_mem_access_seq
do_block
task
defined in class uvm_reg_access_seq
do_block
task
defined in class uvm_reg_mem_shared_access_seq
do_block
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type void
do_bus_read
task
defined in class uvm_reg_map
do_bus_write
task
defined in class uvm_reg_map
do_check
function
function, defined in class uvm_reg,  returns type bit
do_check_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
do_check_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
do_compare
function
function, defined in class uvm_object,  returns type bit
do_compare
function
function, defined in class uvm_class_pair,  returns type bit
do_compare
function
function, defined in class uvm_built_in_pair,  returns type bit
do_compare
function
function, defined in class uvm_random_sequence,  returns type bit
do_compare
function
function, defined in class uvm_exhaustive_sequence,  returns type bit
do_compare
function
function, defined in class uvm_tlm_generic_payload,  returns type bit
do_compare
function
function, defined in class uvm_reg_field,  returns type bit
do_compare
function
function, defined in class uvm_vreg_field,  returns type bit
do_compare
function
function, defined in class uvm_reg,  returns type bit
do_compare
function
function, defined in class uvm_reg_file,  returns type bit
do_compare
function
function, defined in class uvm_vreg,  returns type bit
do_compare
function
function, defined in class uvm_reg_block,  returns type bit
do_compare
function
function, defined in class uvm_mem,  returns type bit
do_compare
function
function, defined in class svt_sequence_item_base,  returns type bit
do_compare
function
function, defined in class svt_exception,  returns type bit
do_compare
function
function, defined in class svt_exception_list,  returns type bit
do_compare
function
function, defined in class svt_sequence_item,  returns type bit
do_compare
function
function, defined in class svt_8b10b_data,  returns type bit
do_compare
function
function, defined in class svt_mem,  returns type bit
do_compare
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
do_compare
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
do_compare
function
function, defined in class svt_amba_addr_mapper,  returns type bit
do_compare
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
do_compare
function
function, defined in class svt_axi_cache,  returns type bit
do_compare
function
function, defined in class svt_chi_address_configuration,  returns type bit
do_compare
function
function, defined in class svt_chi_protocol_service,  returns type bit
do_compare
function
function, defined in class svt_chi_link_service,  returns type bit
do_compare
function
function, defined in class svt_chi_system_monitor_system_data,  returns type bit
do_copy
function
function, defined in class uvm_object,  returns type void
do_copy
function
function, defined in class uvm_objection,  returns type void
do_copy
function
function, defined in class uvm_pool,  returns type void
do_copy
function
function, defined in class uvm_barrier,  returns type void
do_copy
function
function, defined in class uvm_event,  returns type void
do_copy
function
function, defined in class uvm_queue,  returns type void
do_copy
function
function, defined in class uvm_transaction,  returns type void
do_copy
function
function, defined in class uvm_class_pair,  returns type void
do_copy
function
function, defined in class uvm_built_in_pair,  returns type void
do_copy
function
function, defined in class uvm_random_sequence,  returns type void
do_copy
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_copy
function
function, defined in class uvm_tlm_extension_base,  returns type void
do_copy
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_copy
function
function, defined in class uvm_reg_field,  returns type void
do_copy
function
function, defined in class uvm_vreg_field,  returns type void
do_copy
function
function, defined in class uvm_reg,  returns type void
do_copy
function
function, defined in class uvm_reg_file,  returns type void
do_copy
function
function, defined in class uvm_vreg,  returns type void
do_copy
function
function, defined in class uvm_reg_block,  returns type void
do_copy
function
function, defined in class uvm_mem,  returns type void
do_copy
function
function, defined in class uvm_reg_item,  returns type void
do_copy
function
function, defined in class uvm_reg_map,  returns type void
do_copy
function
function, defined in class svt_sequence_item_base,  returns type void
do_copy
function
function, defined in class svt_configuration,  returns type void
do_copy
function
function, defined in class svt_exception,  returns type void
do_copy
function
function, defined in class svt_exception_list,  returns type void
do_copy
function
function, defined in class svt_sequence_item,  returns type void
do_copy
function
function, defined in class svt_mem,  returns type void
do_copy
function
function, defined in class svt_fifo_rate_control,  returns type void
do_copy
function
function, defined in class svt_traffic_profile_transaction,  returns type void
do_copy
function
function, defined in class svt_axi_cache,  returns type void
do_delay
task
defined in class svt_timer
do_end_tr
function
function, defined in class uvm_component,  returns type void
do_end_tr
function
function, defined in class uvm_transaction,  returns type void
do_extract_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
do_extract_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
do_extract_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
do_extract_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
do_field_check
function
function, defined in class uvm_status_container,  returns type void
do_final_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
do_flush
function
function, defined in class uvm_component,  returns type void
do_is_valid
function
function, defined in class svt_sequence_item_base,  returns type bit
do_is_valid
function
function, defined in class svt_exception,  returns type bit
do_is_valid
function
function, defined in class svt_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_sequence_item,  returns type bit
do_is_valid
function
function, defined in class svt_8b10b_data,  returns type bit
do_is_valid
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
do_is_valid
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
do_is_valid
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
do_is_valid
function
function, defined in class svt_chi_hn_addr_range,  returns type bit
do_is_valid
function
function, defined in class svt_chi_address_configuration,  returns type bit
do_is_valid
function
function, defined in class svt_chi_protocol_service,  returns type bit
do_is_valid
function
function, defined in class svt_chi_link_service,  returns type bit
do_is_valid
function
function, defined in class svt_chi_common_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_common_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_base_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_base_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_snoop_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_flit_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_flit_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_rn_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_rn_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_sn_transaction_exception,  returns type bit
do_is_valid
function
function, defined in class svt_chi_sn_transaction_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_chi_system_monitor_system_data,  returns type bit
do_kill
function
function, defined in class uvm_sequence_base,  returns type void
do_kill
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type void
do_kill
function
function, defined in class svt_chi_ic_sn_transaction_base_sequence,  returns type void
do_kill
function
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,  returns type void
do_kill_all
function
function, defined in class uvm_component,  returns type void
do_not_associate_snoops_for_rn_xact
function
function, defined in class svt_chi_system_transaction,  returns type bit
DO_NOT_CATCH
attribute
attribute type static const int, defined in class uvm_report_catcher
do_not_data_pull
attribute
attribute type rand bit, defined in class svt_chi_snoop_transaction
do_not_data_pull
attribute
attribute type rand bit, defined in class svt_chi_flit
DO_NOT_EXPECT_SNOOP
enum value
member of svt_chi_system_configuration :: snoop_filter_policy_on_sc_state_enum
do_not_go_to_sd
attribute
attribute type rand bit, defined in class svt_chi_common_transaction
DO_NOT_MODIFY
attribute
attribute type static const int, defined in class uvm_report_catcher
do_not_randomize
attribute
attribute type bit, defined in class uvm_sequence_base
DO_NOT_WAIT_FOR_NON_SYNC_TO_COMPLETE
enum value
member of svt_chi_node_configuration :: dvm_sync_transmission_policy_enum
DO_NOT_WAIT_FOR_OUTSTANDING_DVM_NON_SYNC_TO_COMPLETE
enum value
member of svt_chi_node_configuration :: snp_dvmop_sync_response_policy_enum
do_pack
function
function, defined in class uvm_object,  returns type void
do_pack
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_pack
function
function, defined in class uvm_reg_field,  returns type void
do_pack
function
function, defined in class uvm_vreg_field,  returns type void
do_pack
function
function, defined in class uvm_reg,  returns type void
do_pack
function
function, defined in class uvm_reg_file,  returns type void
do_pack
function
function, defined in class uvm_vreg,  returns type void
do_pack
function
function, defined in class uvm_reg_block,  returns type void
do_pack
function
function, defined in class uvm_mem,  returns type void
do_pack
function
function, defined in class svt_sequence_item_base,  returns type void
do_pack
function
function, defined in class svt_configuration,  returns type void
do_pack
function
function, defined in class svt_exception,  returns type void
do_pack
function
function, defined in class svt_exception_list,  returns type void
do_pack
function
function, defined in class svt_sequence_item,  returns type void
do_pack
function
function, defined in class svt_chi_address_configuration,  returns type void
do_post_read
task
defined in class uvm_reg_backdoor
do_post_write
task
defined in class uvm_reg_backdoor
do_pre_read
task
defined in class uvm_reg_backdoor
do_pre_write
task
defined in class uvm_reg_backdoor
do_predict
function
function, defined in class uvm_reg_field,  returns type void
do_predict
function
function, defined in class uvm_reg,  returns type void
do_predict
function
function, defined in class uvm_reg_indirect_data,  returns type void
do_predict
function
function, defined in class uvm_reg_fifo,  returns type void
do_print
function
function, defined in class uvm_object,  returns type void
do_print
function
function, defined in class uvm_component,  returns type void
do_print
function
function, defined in class uvm_pool,  returns type void
do_print
function
function, defined in class uvm_object_string_pool,  returns type void
do_print
function
function, defined in class uvm_barrier,  returns type void
do_print
function
function, defined in class uvm_event,  returns type void
do_print
function
function, defined in class uvm_resource_base,  returns type void
do_print
function
function, defined in class uvm_transaction,  returns type void
do_print
function
function, defined in class uvm_sequencer_base,  returns type void
do_print
function
function, defined in class uvm_sequence_item,  returns type void
do_print
function
function, defined in class uvm_sequencer_param_base,  returns type void
do_print
function
function, defined in class uvm_sequence,  returns type void
do_print
function
function, defined in class uvm_sequence_library,  returns type void
do_print
function
function, defined in class uvm_random_sequence,  returns type void
do_print
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_print
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_print
function
function, defined in class uvm_reg_field,  returns type void
do_print
function
function, defined in class uvm_vreg_field,  returns type void
do_print
function
function, defined in class uvm_reg,  returns type void
do_print
function
function, defined in class uvm_reg_file,  returns type void
do_print
function
function, defined in class uvm_vreg,  returns type void
do_print
function
function, defined in class uvm_reg_block,  returns type void
do_print
function
function, defined in class uvm_mem,  returns type void
do_print
function
function, defined in class uvm_reg_map,  returns type void
do_print
function
function, defined in class svt_sequence_item_base,  returns type void
do_print
function
function, defined in class svt_mem,  returns type void
do_print
function
function, defined in class svt_axi_cache,  returns type void
do_read
task
defined in class uvm_reg_field
do_read
task
defined in class uvm_reg
do_read
task
defined in class uvm_mem
do_read
task
defined in class uvm_reg_map
do_record
function
function, defined in class uvm_object,  returns type void
do_record
function
function, defined in class uvm_transaction,  returns type void
do_record
function
function, defined in class uvm_random_sequence,  returns type void
do_record
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_record
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_record
function
function, defined in class svt_sequence_item_base,  returns type void
do_reg_item
task
defined in class uvm_reg_sequence
do_report_phase
function
function, defined in class svt_chi_rn_protocol,  returns type void
do_report_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_rn_link,  returns type void
do_report_phase
function
function, defined in class svt_chi_rn_link_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_sn_link,  returns type void
do_report_phase
function
function, defined in class svt_chi_ic_rn_link,  returns type void
do_report_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
do_report_phase
function
function, defined in class svt_chi_ic_rn_agent,  returns type void
do_report_phase
function
function, defined in class svt_chi_sn_protocol,  returns type void
do_report_phase
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_sn_link_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_ic_sn_link,  returns type void
do_report_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
do_report_phase
function
function, defined in class svt_chi_ic_sn_agent,  returns type void
do_report_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
do_report_phase
function
function, defined in class svt_chi_system_env,  returns type void
do_report_phase
function
function, defined in class svt_chi_interconnect_env,  returns type void
do_resolve_bindings
function
function, defined in class uvm_component,  returns type void
do_sequence_kind
task
defined in class uvm_sequence_base
do_sub_obj_copy_create
function
function, defined in class svt_chi_address_configuration,  returns type void
do_task_phase
task
defined in class uvm_port_component_base
do_unpack
function
function, defined in class uvm_object,  returns type void
do_unpack
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_unpack
function
function, defined in class uvm_reg_field,  returns type void
do_unpack
function
function, defined in class uvm_vreg_field,  returns type void
do_unpack
function
function, defined in class uvm_reg,  returns type void
do_unpack
function
function, defined in class uvm_reg_file,  returns type void
do_unpack
function
function, defined in class uvm_vreg,  returns type void
do_unpack
function
function, defined in class uvm_reg_block,  returns type void
do_unpack
function
function, defined in class uvm_mem,  returns type void
do_unpack
function
function, defined in class svt_sequence_item_base,  returns type void
do_unpack
function
function, defined in class svt_configuration,  returns type void
do_unpack
function
function, defined in class svt_exception,  returns type void
do_unpack
function
function, defined in class svt_exception_list,  returns type void
do_unpack
function
function, defined in class svt_sequence_item,  returns type void
do_unpack
function
function, defined in class svt_chi_address_configuration,  returns type void
do_write
task
defined in class uvm_reg_field
do_write
task
defined in class uvm_reg
do_write
task
defined in class uvm_mem
do_write
task
defined in class uvm_reg_map
domain
function arg
arg type uvm_domain, defined in function uvm_component :: set_domain
domain
function arg
arg type uvm_domain, defined in function uvm_component :: define_domain
domain
function arg
arg type svt_amba_pv :: domain_t, defined in function svt_amba_pv_extension :: set_domain
domain_idx
attribute
attribute type int, defined in class svt_chi_system_domain_item
domain_idx
function arg
arg type int, defined in function svt_chi_system_configuration :: create_new_domain
domain_idx
function arg
arg type int, defined in function svt_chi_system_configuration :: set_addr_for_domain
domain_idx
function arg
arg type int, defined in function svt_chi_address_configuration :: create_new_domain
domain_idx
function arg
arg type int, defined in function svt_chi_address_configuration :: set_addr_for_domain
domain_t
enum typedef
defined in class svt_amba_pv
domain_type
attribute
attribute type svt_chi_system_domain_item :: system_domain_type_enum, defined in class svt_chi_system_domain_item
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_system_configuration :: create_new_domain
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_system_configuration :: check_domain_inclusion
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_system_configuration :: get_domain_item_for_node
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_address_configuration :: create_new_domain
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_address_configuration :: check_domain_inclusion
domain_type
function arg
arg type svt_chi_system_domain_item :: system_domain_type_enum, defined in function svt_chi_address_configuration :: get_domain_item_for_node
domains
function arg
arg type output uvm_domain, defined in function uvm_domain :: get_domains
down
function
function, defined in class uvm_scope_stack,  returns type void
down_element
function
function, defined in class uvm_scope_stack,  returns type void
downstream_rn_xact_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data
downstream_rn_xact_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data
downstream_snoop_xact_queue
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data
downstream_snoop_xact_queue
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data
drain
function arg
arg type time, defined in function uvm_objection :: set_drain_time
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: post_seq_item_get
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: post_snoop_seq_item_get
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: post_service_seq_item_get
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: transaction_started
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: post_initial_cache_state_check
driver
function arg
arg type svt_chi_rn_protocol, defined in function svt_chi_rn_protocol_callback :: transaction_ended
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: post_tx_req_seq_item_get
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: post_tx_rsp_seq_item_get
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: post_tx_dat_seq_item_get
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: post_service_seq_item_get
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_rx_rsp_flit_out_put
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_rx_dat_flit_out_put
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_rx_snp_flit_out_put
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: flit_out_cov
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: transaction_started
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: transaction_ended
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_req_flitpend_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_req_flitv_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_dat_flitpend_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_dat_flitv_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_rsp_flitpend_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_tx_rsp_flitv_asserted
driver
function arg
arg type svt_chi_rn_link, defined in function svt_chi_rn_link_callback :: pre_rx_rsp_lcrdv_asserted
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_rx_req_flit_out_put
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: post_tx_rsp_seq_item_get
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: post_tx_dat_seq_item_get
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: post_service_seq_item_get
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_rx_dat_flit_out_put
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: flit_out_cov
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: transaction_started
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: transaction_ended
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_tx_rsp_flitpend_asserted
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_tx_rsp_flitv_asserted
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_tx_dat_flitv_asserted
driver
function arg
arg type svt_chi_sn_link, defined in function svt_chi_sn_link_callback :: pre_tx_dat_flitpend_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_rx_req_flit_out_put
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_rx_rsp_flit_out_put
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_rx_dat_flit_out_put
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: post_tx_rsp_seq_item_get
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: post_tx_dat_seq_item_get
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_snp_flitpend_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_snp_flitv_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_dat_flitpend_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_dat_flitv_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_rsp_flitpend_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: pre_tx_rsp_flitv_asserted
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: transaction_started
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: transaction_ended
driver
function arg
arg type svt_chi_ic_rn_link, defined in function svt_chi_ic_rn_link_callback :: flit_out_cov
driver
function arg
arg type svt_chi_sn_protocol, defined in function svt_chi_sn_protocol_callback :: post_seq_item_get
driver
function arg
arg type svt_chi_sn_protocol, defined in function svt_chi_sn_protocol_callback :: post_service_seq_item_get
driver
function arg
arg type svt_chi_sn_protocol, defined in function svt_chi_sn_protocol_callback :: transaction_started
driver
function arg
arg type svt_chi_sn_protocol, defined in function svt_chi_sn_protocol_callback :: transaction_ended
driver
attribute
attribute type svt_chi_interconnect, defined in class svt_chi_interconnect_env
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol :: post_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol :: post_snoop_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol_callback :: post_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol_callback :: post_snoop_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_protocol_callback :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor :: pre_transaction_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor :: pre_tlm_generic_payload_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor :: pre_snoop_transaction_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor :: pre_snoop_tlm_generic_payload_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor_callback :: pre_transaction_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor_callback :: pre_tlm_generic_payload_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor_callback :: pre_snoop_transaction_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_node_protocol_monitor_callback :: pre_snoop_tlm_generic_payload_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: post_tx_req_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: pre_rx_rsp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link :: pre_rx_snp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: post_tx_req_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: pre_rx_rsp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_callback :: pre_rx_snp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_tx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_rx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_rx_snp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_tx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_rx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_rx_snp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_callback :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_tx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_rx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_rx_snp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_tx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_rx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_rx_snp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link :: pre_rx_req_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_callback :: pre_rx_req_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_callback :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_callback :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_callback :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_callback :: pre_rx_dat_flit_out_put
drop
task arg
arg type ref bit, defined in task svt_chi_ic_rn_link_cb_exec_common :: pre_rx_req_flit_out_put_cb_exec
drop
task arg
arg type ref bit, defined in task svt_chi_ic_rn_link_cb_exec_common :: pre_rx_rsp_flit_out_put_cb_exec
drop
task arg
arg type ref bit, defined in task svt_chi_ic_rn_link_cb_exec_common :: pre_rx_dat_flit_out_put_cb_exec
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link :: pre_rx_req_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link :: pre_rx_rsp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link_callback :: pre_rx_req_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link_callback :: pre_rx_rsp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link_callback :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link_callback :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_rn_link_callback :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_protocol :: post_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_protocol :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_protocol_callback :: post_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_protocol_callback :: post_service_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor :: pre_rx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_callback :: pre_rx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_callback :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_callback :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_callback :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks :: pre_rx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_state_cov_data_callbacks :: pre_rx_req_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_state_cov_data_callbacks :: pre_tx_rsp_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_state_cov_data_callbacks :: pre_rx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_sn_link_monitor_def_state_cov_data_callbacks :: pre_tx_dat_flit_observed_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: post_tx_req_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: post_tx_rsp_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: post_tx_dat_seq_item_get
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: pre_rx_rsp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: pre_rx_dat_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_ic_sn_link :: pre_rx_snp_flit_out_put
drop
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_process_rn_xact
drop
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_process_sn_xact
drop
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_process_snoop_xact
drop
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_process_axi_mstr_xact
drop
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_process_axi_slave_xact
drop_objection
function
function, defined in class uvm_objection,  returns type void
drop_objection
function
function, defined in class uvm_phase,  returns type void
drop_objection
function
function, defined in class uvm_test_done_objection,  returns type void
drop_phase_objection
function
function, defined in class svt_sequence,  returns type void
dropped
function
function, defined in class uvm_component,  returns type void
dropped
function
function, defined in class uvm_objection,  returns type void
dropped
function
function, defined in class uvm_objection_callback,  returns type void
dropped
function
function, defined in class uvm_callbacks_objection,  returns type void
dropped
attribute
attribute type event, defined in class uvm_objection_events
dropped
function
function, defined in class uvm_heartbeat_callback,  returns type void
dropping_response_catcher
attribute
attribute type static protected svt_dropping_response_report_catcher, defined in class svt_sequencer
dst
function arg
arg type uvm_report_server, defined in function uvm_report_server :: copy_severity_counts
dst
function arg
arg type uvm_report_server, defined in function uvm_report_server :: copy_id_counts
dump
function
function, defined in class uvm_resource_pool,  returns type void
dump
function
function, defined in class uvm_resource_db,  returns type void
dump_get_records
function
function, defined in class uvm_resource_pool,  returns type void
dump_l3_sf_latency_metrics_into_fsdb
function
function, defined in class svt_chi_system_transaction,  returns type string
dump_report_state
function
function, defined in class uvm_report_object,  returns type void
dump_server_state
function
function, defined in class uvm_report_server,  returns type void
dump_state
function
function, defined in class uvm_report_handler,  returns type void
duration
attribute
attribute type real, defined in class svt_amba_perf_calc_base
DVM
enum value
member of svt_chi_snoop_transaction :: xact_category_enum
dvm_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
dvm_home_nid
function arg
arg type int, defined in function svt_chi_system_configuration :: set_dvm_home_node_to_rn_map
dvm_home_nid
attribute
attribute type int, defined in class svt_chi_node_configuration
dvm_lpid
attribute
attribute type rand bit [(SVT_CHI_LPID_WIDTH-1):0], defined in class svt_chi_rn_transaction_dvm_sync_sequence
dvm_lpid_pattern
attribute
attribute type rand svt_chi_rn_transaction_base_sequence :: dvm_lpid_pattern_enum, defined in class svt_chi_rn_transaction_dvm_sync_sequence
dvm_lpid_pattern_enum
enum typedef
defined in class svt_chi_rn_transaction_base_sequence
dvm_message_t
enum typedef
defined in class svt_amba_pv
dvm_message_type_enum
enum typedef
defined in class svt_chi_common_transaction
DVM_MSG_TYPE_BTB_INVALIDATE
enum value
member of svt_chi_common_transaction :: dvm_message_type_enum
DVM_MSG_TYPE_PHY_ICACHE_INVALIDATE
enum value
member of svt_chi_common_transaction :: dvm_message_type_enum
DVM_MSG_TYPE_SYNC
enum value
member of svt_chi_common_transaction :: dvm_message_type_enum
DVM_MSG_TYPE_TLB_INVALIDATE
enum value
member of svt_chi_common_transaction :: dvm_message_type_enum
DVM_MSG_TYPE_VIRT_ICACHE_INVALIDATE
enum value
member of svt_chi_common_transaction :: dvm_message_type_enum
dvm_operation_transaction_event
attribute
attribute type event, defined in class svt_chi_scenario_coverage_database
dvm_operation_transaction_sequence
attribute
attribute type int, defined in class svt_chi_scenario_coverage_database
dvm_os_t
enum typedef
defined in class svt_amba_pv
dvm_reqs_received
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence
dvm_security_t
enum typedef
defined in class svt_amba_pv
dvm_sent_from_interleaved_port
attribute
attribute type bit, defined in class svt_chi_node_configuration
dvm_sync_data_phase_complete
attribute
attribute type bit, defined in class svt_chi_rn_transaction_dvm_sync_sequence
dvm_sync_data_phase_complete
attribute
attribute type bit, defined in class svt_chi_system_single_node_dvm_virtual_sequence
dvm_sync_retried_cancelled
attribute
attribute type bit, defined in class svt_chi_rn_transaction_dvm_sync_sequence
dvm_sync_transmission_policy
attribute
attribute type svt_chi_node_configuration :: dvm_sync_transmission_policy_enum, defined in class svt_chi_node_configuration
dvm_sync_transmission_policy_enum
enum typedef
defined in class svt_chi_node_configuration
DVM_v8_0
enum value
member of svt_chi_node_configuration :: dvm_version_support_enum
DVM_v8_1
enum value
member of svt_chi_node_configuration :: dvm_version_support_enum
DVM_v8_4
enum value
member of svt_chi_node_configuration :: dvm_version_support_enum
DVM_v9_2
enum value
member of svt_chi_node_configuration :: dvm_version_support_enum
dvm_version_support
attribute
attribute type svt_chi_node_configuration :: dvm_version_support_enum, defined in class svt_chi_node_configuration
dvm_version_support
attribute
attribute type svt_chi_node_configuration :: dvm_version_support_enum, defined in class svt_chi_interconnect_configuration
dvm_version_support_enum
enum typedef
defined in class svt_chi_node_configuration
dvm_write_semantic_length
attribute
attribute type int unsigned, defined in class svt_chi_system_single_node_dvm_virtual_sequence
dvm_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_single_node_dvm_virtual_sequence
DVMOP
enum value
member of svt_chi_common_transaction :: xact_type_enum
dvmop_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
dvmop_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
dynamic_checks
attribute
attribute type bit, defined in class svt_err_check
dynamic_rate
attribute
attribute type rand int, defined in class svt_amba_fifo_rate_control_configuration
dynamic_rate_control_interval_timer
attribute
attribute type svt_timer, defined in class svt_amba_fifo_rate_control
dynamic_rate_interval
attribute
attribute type real, defined in class svt_amba_fifo_rate_control_configuration