How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| m_abort | attribute |
attribute type bit, defined in class uvm_sequence_library |
| m_added | attribute |
attribute type protected bit, defined in class uvm_heartbeat |
| m_address | attribute |
attribute type rand bit [63:0] , defined in class uvm_tlm_generic_payload |
| m_arb_size | attribute |
attribute type protected int, defined in class uvm_sequencer_base |
| m_argv | attribute |
attribute type protected string, defined in class uvm_cmdline_processor |
| m_array_stack | attribute |
attribute type protected bit, defined in class uvm_printer |
| m_b_inst | attribute |
attribute type static uvm_callbacks_base, defined in class uvm_callbacks_base |
| m_b_inst | attribute |
attribute type static uvm_typeid, defined in class uvm_typeid |
| m_base_inst | attribute |
attribute type static uvm_callbacks, defined in class uvm_callbacks |
| m_bits | attribute |
attribute type uvm_pack_bitstream_t, defined in class uvm_packer |
| m_build_done | attribute |
attribute type bit, defined in class uvm_component |
| m_byte_enable | attribute |
attribute type rand byte unsigned, defined in class uvm_tlm_generic_payload |
| m_byte_enable_length | attribute |
attribute type rand int unsigned, defined in class uvm_tlm_generic_payload |
| m_cb | attribute |
attribute type protected uvm_heartbeat_callback, defined in class uvm_heartbeat |
| m_cb_typeid | attribute |
attribute type static uvm_typeid_base, defined in class uvm_callbacks |
| m_cb_typename | attribute |
attribute type static string, defined in class uvm_callbacks |
| m_children | attribute |
attribute type protected uvm_component, defined in class uvm_component |
| m_children_by_handle | attribute |
attribute type protected uvm_component, defined in class uvm_component |
| m_cleared | attribute |
attribute type protected bit, defined in class uvm_objection |
| m_client | attribute |
attribute type protected uvm_report_object, defined in class uvm_sequence_item |
| m_client_str | attribute |
attribute type protected string, defined in class uvm_sequence_item |
| m_cntxt | attribute |
attribute type protected uvm_component, defined in class uvm_heartbeat |
| m_command | attribute |
attribute type rand uvm_tlm_command_e, defined in class uvm_tlm_generic_payload |
| m_comp | attribute |
attribute type uvm_port_component, defined in class uvm_port_base |
| m_config_set | attribute |
attribute type static bit, defined in class uvm_component |
| m_current_phase | attribute |
attribute type uvm_phase, defined in class uvm_component |
| m_d_inst | attribute |
attribute type static uvm_derived_callbacks, defined in class uvm_derived_callbacks |
| m_data | attribute |
attribute type rand byte unsigned, defined in class uvm_tlm_generic_payload |
| m_def_index | attribute |
attribute type protected int unsigned, defined in class uvm_port_base |
| m_default_seq_set | attribute |
attribute type protected bit, defined in class uvm_sequencer_base |
| m_depth | attribute |
attribute type protected int, defined in class uvm_sequence_item |
| m_derived_types | attribute |
attribute type uvm_typeid_base, defined in class uvm_callbacks_base |
| m_dmi | attribute |
attribute type bit, defined in class uvm_tlm_generic_payload |
| m_domain | attribute |
attribute type protected uvm_domain, defined in class uvm_component |
| m_drain_time | attribute |
attribute type protected time, defined in class uvm_objection |
| m_enabled | attribute |
attribute type protected bit, defined in class uvm_callback |
| m_end_node | attribute |
attribute type protected uvm_phase, defined in class uvm_phase |
| m_event | attribute |
attribute type protected uvm_event, defined in class uvm_heartbeat |
| m_events | attribute |
attribute type protected uvm_objection_events, defined in class uvm_objection |
| m_executing_phases | attribute |
attribute type static protected bit, defined in class uvm_phase |
| m_exhaustive_count | attribute |
attribute type int, defined in class uvm_sequencer_base |
| m_extensions | attribute |
attribute type protected uvm_tlm_extension_base, defined in class uvm_tlm_generic_payload |
| m_fields | attribute |
attribute type protected uvm_reg_field, defined in class uvm_reg |
| m_forced | attribute |
attribute type protected bit, defined in class uvm_test_done_objection |
| m_global_pool | attribute |
attribute type static protected uvm_pool, defined in class uvm_pool |
| m_global_pool | attribute |
attribute type static protected uvm_object_string_pool, defined in class uvm_object_string_pool |
| m_global_report_server | attribute |
attribute type static protected uvm_report_server, defined in class uvm_report_server |
| m_handles | attribute |
attribute type static bit, defined in class uvm_recorder |
| m_has_wildcard_names | attribute |
attribute type static bit, defined in class uvm_resource_pool |
| m_hblist | attribute |
attribute type protected uvm_component, defined in class uvm_heartbeat |
| m_hier_mode | attribute |
attribute type bit, defined in class uvm_objection |
| m_idx | attribute |
attribute type protected uvm_reg, defined in class uvm_reg_indirect_data |
| m_if | attribute |
attribute type protected uvm_port_base, defined in class uvm_port_base |
| m_if_mask | attribute |
attribute type protected int unsigned, defined in class uvm_port_base |
| m_imp | attribute |
attribute type uvm_phase, defined in class uvm_phase |
| m_inst | attribute |
attribute type static protected uvm_test_done_objection, defined in class uvm_test_done_objection |
| m_inst_count | attribute |
attribute type static protected int, defined in class uvm_object |
| m_inst_override_name_queues | attribute |
attribute type protected uvm_factory_queue_class, defined in class uvm_factory |
| m_inst_override_queues | attribute |
attribute type protected uvm_factory_queue_class, defined in class uvm_factory |
| m_is_busy | attribute |
attribute type bit, defined in class uvm_reg |
| m_is_locked_by_field | attribute |
attribute type bit, defined in class uvm_reg |
| m_is_relevant_completed | attribute |
attribute type int, defined in class uvm_sequencer_base |
| m_last_req_buffer | attribute |
attribute type REQ, defined in class uvm_sequencer_param_base |
| m_last_rsp_buffer | attribute |
attribute type RSP, defined in class uvm_sequencer_param_base |
| m_length | attribute |
attribute type rand int unsigned, defined in class uvm_tlm_generic_payload |
| m_lock_arb_size | attribute |
attribute type protected int, defined in class uvm_sequencer_base |
| m_lookup_strs | attribute |
attribute type protected bit, defined in class uvm_factory |
| m_maps | attribute |
attribute type protected bit, defined in class uvm_reg |
| m_matches | attribute |
attribute type int, defined in class uvm_in_order_comparator |
| m_max_name | attribute |
attribute type protected int, defined in class uvm_table_printer |
| m_max_size | attribute |
attribute type protected int, defined in class uvm_table_printer |
| m_max_type | attribute |
attribute type protected int, defined in class uvm_table_printer |
| m_max_value | attribute |
attribute type protected int, defined in class uvm_table_printer |
| m_max_verbosity_level | attribute |
attribute type int, defined in class uvm_report_handler |
| m_mismatches | attribute |
attribute type int, defined in class uvm_in_order_comparator |
| m_mode | attribute |
attribute type protected uvm_heartbeat_modes, defined in class uvm_heartbeat |
| m_name | attribute |
attribute type string, defined in class uvm_component |
| m_next_transaction_id | attribute |
attribute type int, defined in class uvm_sequence_base |
| m_num_last_reqs | attribute |
attribute type protected int, defined in class uvm_sequencer_param_base |
| m_num_last_rsps | attribute |
attribute type protected int, defined in class uvm_sequencer_param_base |
| m_num_procs_not_yet_returned | attribute |
attribute type int, defined in class uvm_phase |
| m_num_reqs_sent | attribute |
attribute type protected int, defined in class uvm_sequencer_param_base |
| m_num_rsps_received | attribute |
attribute type protected int, defined in class uvm_sequencer_param_base |
| m_objection | attribute |
attribute type protected uvm_callbacks_objection, defined in class uvm_heartbeat |
| m_objections | attribute |
attribute type static uvm_objection, defined in class uvm_objection |
| m_packed_size | attribute |
attribute type int, defined in class uvm_packer |
| m_parent | attribute |
attribute type uvm_component, defined in class uvm_component |
| m_parent | attribute |
attribute type protected uvm_phase, defined in class uvm_phase |
| m_parent_sequence | attribute |
attribute type protected uvm_sequence_base, defined in class uvm_sequence_item |
| m_pending_blocked_gets | attribute |
attribute type protected int, defined in class uvm_tlm_fifo |
| m_phase_all_done | attribute |
attribute type bit, defined in class uvm_root |
| m_phase_imps | attribute |
attribute type uvm_phase, defined in class uvm_component |
| m_phase_process | attribute |
attribute type protected process, defined in class uvm_component |
| m_phase_trace | attribute |
attribute type static protected bit, defined in class uvm_phase |
| m_phase_type | attribute |
attribute type protected uvm_phase_type, defined in class uvm_phase |
| m_phasing_active | attribute |
attribute type int, defined in class uvm_component |
| m_plus_argv | attribute |
attribute type protected string, defined in class uvm_cmdline_processor |
| m_pool | attribute |
attribute type static uvm_pool, defined in class uvm_callbacks_base |
| m_port | attribute |
attribute type PORT, defined in class uvm_port_component |
| m_predecessors | attribute |
attribute type protected bit, defined in class uvm_phase |
| m_random_count | attribute |
attribute type int, defined in class uvm_sequencer_base |
| m_registered | attribute |
attribute type bit, defined in class uvm_callbacks |
| m_req_fifo | attribute |
attribute type uvm_tlm_fifo, defined in class uvm_sequencer_param_base |
| m_request_fifo | attribute |
attribute type protected uvm_tlm_fifo, defined in class uvm_tlm_req_rsp_channel |
| m_response_fifo | attribute |
attribute type protected uvm_tlm_fifo, defined in class uvm_tlm_req_rsp_channel |
| m_response_status | attribute |
attribute type rand uvm_tlm_response_status_e, defined in class uvm_tlm_generic_payload |
| m_rh | attribute |
attribute type uvm_report_handler, defined in class uvm_report_object |
| m_rh | attribute |
attribute type protected uvm_report_handler, defined in class uvm_sequence_item |
| m_rows | attribute |
attribute type protected uvm_printer_row_info, defined in class uvm_printer |
| m_rsc | attribute |
attribute type static uvm_pool, defined in class uvm_config_db |
| m_s_typeid | attribute |
attribute type static uvm_typeid_base, defined in class uvm_derived_callbacks |
| m_scope | attribute |
attribute type uvm_scope_stack, defined in class uvm_printer |
| m_sequence_process | attribute |
attribute type protected process, defined in class uvm_sequence_base |
| m_sequence_state | attribute |
attribute type protected uvm_sequence_state, defined in class uvm_sequence_base |
| m_sequencer | attribute |
attribute type protected uvm_sequencer_base, defined in class uvm_sequence_item |
| m_sequencer_id | attribute |
attribute type protected int, defined in class uvm_sequencer_base |
| m_simple_count | attribute |
attribute type int, defined in class uvm_sequencer_base |
| m_source_count | attribute |
attribute type protected int, defined in class uvm_objection |
| m_sqr_seq_ids | attribute |
attribute type protected int, defined in class uvm_sequence_base |
| m_started | attribute |
attribute type protected bit, defined in class uvm_heartbeat |
| m_stop_event | attribute |
attribute type protected event, defined in class uvm_heartbeat |
| m_streaming_width | attribute |
attribute type rand int unsigned, defined in class uvm_tlm_generic_payload |
| m_string | attribute |
attribute type string, defined in class uvm_printer |
| m_success | attribute |
attribute type protected bit, defined in class uvm_random_sequence |
| m_success | attribute |
attribute type protected bit, defined in class uvm_exhaustive_sequence |
| m_successors | attribute |
attribute type protected bit, defined in class uvm_phase |
| m_super_inst | attribute |
attribute type static uvm_callbacks, defined in class uvm_derived_callbacks |
| m_super_type | attribute |
attribute type uvm_typeid_base, defined in class uvm_callbacks_base |
| m_t_inst | attribute |
attribute type static uvm_typed_callbacks, defined in class uvm_typed_callbacks |
| m_tbl | attribute |
attribute type protected uvm_reg, defined in class uvm_reg_indirect_data |
| m_this_type | attribute |
attribute type uvm_callbacks_base, defined in class uvm_callbacks_base |
| m_time_settings | attribute |
attribute type static m_verbosity_setting, defined in class uvm_component |
| m_top | attribute |
attribute type protected uvm_root, defined in class uvm_objection |
| m_top_all_dropped | attribute |
attribute type bit, defined in class uvm_objection |
| m_total_count | attribute |
attribute type protected int, defined in class uvm_objection |
| m_tr_handle | attribute |
attribute type int, defined in class uvm_sequence_base |
| m_trace_mode | attribute |
attribute type protected bit, defined in class uvm_objection |
| m_tracing | attribute |
attribute type static bit, defined in class uvm_callbacks_base |
| m_tw_cb_q | attribute |
attribute type static uvm_queue, defined in class uvm_typed_callbacks |
| m_type_names | attribute |
attribute type protected uvm_object_wrapper, defined in class uvm_factory |
| m_type_overrides | attribute |
attribute type protected uvm_factory_override, defined in class uvm_factory |
| m_typeid | attribute |
attribute type static uvm_typeid_base, defined in class uvm_callbacks |
| m_typename | attribute |
attribute type static string, defined in class uvm_typed_callbacks |
| m_typename | attribute |
attribute type static string, defined in class uvm_callbacks |
| m_types | attribute |
attribute type protected bit, defined in class uvm_factory |
| m_typewide_sequences | attribute |
attribute type static protected uvm_object_wrapper, defined in class uvm_sequence_library |
| m_update_in_progress | attribute |
attribute type protected bit, defined in class uvm_reg |
| m_use_sequence_info | attribute |
attribute type protected bit, defined in class uvm_sequence_item |
| m_user_inst | attribute |
attribute type static uvm_callbacks, defined in class uvm_derived_callbacks |
| m_uvm_argv | attribute |
attribute type protected string, defined in class uvm_cmdline_processor |
| m_uvm_cycle_scopes | attribute |
attribute type uvm_object, defined in class uvm_status_container |
| m_verbosity_settings | attribute |
attribute type m_verbosity_setting, defined in class uvm_component |
| m_wait_for_grant_semaphore | attribute |
attribute type int, defined in class uvm_sequence_base |
| m_wait_for_item_sequence_id | attribute |
attribute type protected int, defined in class uvm_sequencer_base |
| m_wait_for_item_transaction_id | attribute |
attribute type protected int, defined in class uvm_sequencer_base |
| m_wildcard_inst_overrides | attribute |
attribute type protected uvm_factory_override, defined in class uvm_factory |
| makeinvalid_associated_comp_response_legal_cache_state_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makeinvalid_associated_comp_response_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makeinvalid_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| makeinvalid_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| makereadunique_associated_comp_response_legal_cache_state_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makereadunique_associated_comp_response_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makereadunique_associated_compdata_packets_legal_cache_state_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makereadunique_associated_compdata_packets_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makereadunique_data_response_cache_data_match_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makereadunique_read_data | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| makereadunique_read_datacheck | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| makereadunique_read_poison | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| makereadunique_read_tag | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| makereadunique_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| makereadunique_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| makeunique_associated_comp_response_legal_cache_state_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makeunique_associated_comp_response_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| makeunique_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| makeunique_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| mam | attribute |
attribute type uvm_mem_mam, defined in class uvm_mem |
| manage_objection | attribute |
attribute type bit, defined in class svt_sequencer |
| manage_objection | attribute |
attribute type bit, defined in class svt_sequence |
| map | attribute |
attribute type uvm_reg_map, defined in class uvm_reg_item |
| map | attribute |
attribute type uvm_reg_map, defined in class uvm_reg_predictor |
| mapped_node_id | attribute |
attribute type int, defined in class svt_chi_node_configuration |
| mask | attribute |
attribute type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in class svt_amba_addr_mapper |
| master_export | attribute |
attribute type uvm_master_imp, defined in class uvm_tlm_req_rsp_channel |
| matching_dbid_home_nid_in_respsepdata_datasepresp_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| matching_excl_store_id | attribute |
attribute type protected bit, defined in class svt_chi_exclusive_monitor |
| matching_mpam_values_for_original_request_and_associated_slave_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| matching_mpam_values_for_original_request_and_associated_stash_snoop_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| matching_resp_err_nderr_in_respsepdata_datasepresp_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| max | attribute |
attribute type static const int unsigned, defined in class uvm_spell_chkr |
| max_abf_addr | attribute |
attribute type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_hn_status |
| max_addr | attribute |
attribute type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in class svt_mem |
| max_array_elem_display | attribute |
attribute type static int, defined in class svt_sequence_item_base |
| max_num_clock_cycles_speculative_sactive_signal_asserted | attribute |
attribute type int unsigned, defined in class svt_chi_node_configuration |
| max_num_end_of_xact_txsactive_extended_assertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| max_num_exceptions | attribute |
attribute type int, defined in class svt_exception_list |
| max_num_exclusive_access | attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
| max_num_outstanding_atomic_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_atomic_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_cmo_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_cmo_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_control_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_control_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_read_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_read_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_write_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_write_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_xacts_at_hn | attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| max_num_outstanding_xacts_at_hn_status | attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| max_num_speculative_txsactive_assertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| max_num_speculative_txsactive_deassertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| max_offset | attribute |
attribute type bit [63:0] , defined in class uvm_mem_mam_policy |
| max_post_send_service_request_halt_cycles | attribute |
attribute type int unsigned, defined in class svt_chi_link_service_base_sequence |
| max_post_send_service_request_halt_cycles_status | attribute |
attribute type bit, defined in class svt_chi_link_service_base_sequence |
| max_random_count | attribute |
attribute type int unsigned, defined in class uvm_sequencer_base |
| max_random_count | attribute |
attribute type int unsigned, defined in class uvm_sequence_library_cfg |
| max_random_count | attribute |
attribute type int unsigned, defined in class uvm_sequence_library |
| max_random_depth | attribute |
attribute type int unsigned, defined in class uvm_sequencer_base |
| max_read_latency_xact | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| max_read_latency_xact_queue | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| max_ready_to_end_iter | attribute |
attribute type int unsigned, defined in class uvm_phase |
| max_width | attribute |
attribute type int, defined in class uvm_printer_knobs |
| max_write_latency_xact | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| max_write_latency_xact_queue | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| mcd | attribute |
attribute type int, defined in class uvm_printer_knobs |
| mcd_cb_number | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_id_constructor | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_id_get_cfg | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_id_reconfigure | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_id_start_monitor | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_id_stop_monitor | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_in_port_numbers | attribute |
attribute type protected string, defined in class svt_monitor |
| mcd_in_port_values | attribute |
attribute type protected string, defined in class svt_monitor |
| mcd_log_file | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_logging_input_objects_only | attribute |
attribute type protected bit, defined in class svt_monitor |
| mcd_logging_on | attribute |
attribute type protected bit, defined in class svt_monitor |
| mcd_n_number | attribute |
attribute type protected int, defined in class svt_monitor |
| mcd_notification_described | attribute |
attribute type protected bit, defined in class svt_monitor |
| mcd_out_port_numbers | attribute |
attribute type protected string, defined in class svt_monitor |
| mcd_out_port_values | attribute |
attribute type protected string, defined in class svt_monitor |
| mcd_task_number | attribute |
attribute type protected int, defined in class svt_monitor |
| mem | attribute |
attribute type uvm_mem, defined in class uvm_mem_single_walk_seq |
| mem | attribute |
attribute type uvm_mem, defined in class uvm_mem_single_access_seq |
| mem | attribute |
attribute type uvm_mem, defined in class uvm_mem_shared_access_seq |
| mem_attr_allocate_hint | attribute |
attribute type rand bit, defined in class svt_chi_base_transaction |
| mem_attr_is_cacheable | attribute |
attribute type rand bit, defined in class svt_chi_base_transaction |
| mem_attr_is_early_wr_ack_allowed | attribute |
attribute type rand bit, defined in class svt_chi_base_transaction |
| mem_attr_mem_type | attribute |
attribute type rand svt_chi_common_transaction :: mem_attr_mem_type_enum, defined in class svt_chi_base_transaction |
| mem_data_after_intialisation | attribute |
attribute type bit [SVT_CHI_XACT_MAX_DATA_WIDTH-1:0], defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
| mem_range | attribute |
attribute type uvm_reg_map_addr_range, defined in class uvm_reg_map_info |
| mem_seq | attribute |
attribute type protected uvm_mem_single_walk_seq, defined in class uvm_mem_walk_seq |
| mem_seq | attribute |
attribute type protected uvm_mem_single_access_seq, defined in class uvm_mem_access_seq |
| mem_seq | attribute |
attribute type protected uvm_mem_shared_access_seq, defined in class uvm_reg_mem_shared_access_seq |
| mem_tagging_enable | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| mem_tagging_setting_applicable_for_all_addresses_at_completer | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| mem_type | attribute |
attribute type rand svt_chi_common_transaction :: mem_attr_mem_type_enum, defined in class chi_rn_directed_noncoherent_xact_sequence |
| memattr_propagation_checks_enable | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| meminit | attribute |
attribute type svt_mem :: meminit_enum, defined in class svt_mem |
| meminit_address_offset | attribute |
attribute type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in class svt_mem |
| meminit_value | attribute |
attribute type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in class svt_mem |
| memory_attributes_propagation_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| memory_tagging_transaction_event | attribute |
attribute type event, defined in class svt_chi_scenario_coverage_database |
| memory_update_for_read_xact_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| memupdate_types | attribute |
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_multi_node_random_virtual_sequence |
| messages_to_demote | attribute |
attribute type protected string_q_t, defined in class svt_err_catcher |
| messages_to_demote_removed | attribute |
attribute type protected string_q_t, defined in class svt_err_catcher |
| messages_to_demote_removed_limit_check | attribute |
attribute type protected bit, defined in class svt_err_catcher |
| min_abf_addr | attribute |
attribute type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_hn_status |
| min_addr | attribute |
attribute type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in class svt_mem |
| min_cycles_in_deactive | attribute |
attribute type rand int, defined in class svt_chi_link_service |
| min_cycles_in_deactive | attribute |
attribute type rand int unsigned, defined in class svt_chi_link_service_deactivate_sequence |
| MIN_DELAY_wt | attribute |
attribute type int, defined in class svt_chi_common_transaction |
| min_num_end_of_xact_txsactive_extended_assertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| min_num_speculative_txsactive_assertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| min_num_speculative_txsactive_deassertion_cycles | attribute |
attribute type rand int unsigned, defined in class svt_chi_node_configuration |
| min_offset | attribute |
attribute type bit [63:0] , defined in class uvm_mem_mam_policy |
| min_post_send_service_request_halt_cycles | attribute |
attribute type int unsigned, defined in class svt_chi_link_service_base_sequence |
| min_post_send_service_request_halt_cycles_status | attribute |
attribute type bit, defined in class svt_chi_link_service_base_sequence |
| min_random_count | attribute |
attribute type int unsigned, defined in class uvm_sequence_library_cfg |
| min_random_count | attribute |
attribute type int unsigned, defined in class uvm_sequence_library |
| min_read_latency_xact | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| min_read_latency_xact_queue | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| min_write_latency_xact | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| min_write_latency_xact_queue | attribute |
attribute type svt_sequence_item, defined in class svt_amba_perf_rec_base |
| misc_node_id | attribute |
attribute type rand int, defined in class svt_chi_system_configuration |
| miscompares | attribute |
attribute type string, defined in class uvm_comparer |
| mn_addr_ranges | attribute |
attribute type svt_chi_hn_addr_range, defined in class svt_chi_address_configuration |
| mode | attribute |
attribute type rand uvm_mem_mam :: alloc_mode_e, defined in class uvm_mem_mam_cfg |
| model | attribute |
attribute type uvm_reg_block, defined in class uvm_reg_sequence |
| modified | attribute |
attribute type protected bit, defined in class uvm_resource_base |
| monitor | attribute |
attribute type protected svt_chi_node_protocol_monitor, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
| monitor_type | attribute |
attribute type string, defined in class svt_chi_exclusive_monitor |
| mpam_ns | attribute |
attribute type rand bit [(SVT_CHI_XACT_MPAM_NS_WIDTH-1):0], defined in class svt_chi_common_transaction |
| mpam_partid | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_MPAM_PARTID_WIDTH-1):0], defined in class svt_chi_common_transaction |
| mpam_perfmongroup | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_MPAM_PERFMONGROUP_WIDTH-1):0], defined in class svt_chi_common_transaction |
| mpam_propagation_rn_to_sn_check_enable | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| mpam_propagation_rn_to_stash_snoop_check_enable | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| msg_to_filter | attribute |
attribute type int, defined in class svt_uvm_cmd_assistant_report_catcher |
| multi_chip_system_monitor_enable | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| multiple_abf_requests_targeted_to_same_addr_and_to_same_target | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| multiple_req_sources_within_rn_node | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| my_cache | attribute |
attribute type svt_axi_cache, defined in class svt_chi_rn_transaction_base_sequence |
| my_type | attribute |
attribute type static uvm_resource, defined in class uvm_resource |