VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-B SVT UVM Documentation - function/task index - g

gen_uniq_txn_id
task arg
arg type input bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
gen_uniq_txn_id
task arg
arg type input bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
gen_uniq_txn_id
task arg
arg type input bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
generate_service_requests
task
defined in class svt_chi_link_service_base_sequence
generate_stimulus
task
defined in class uvm_random_stimulus
generate_transactions
task
defined in class svt_chi_rn_coherent_transaction_base_sequence
get
function
function, defined in class uvm_scope_stack,  returns type string
get
function
function, defined in class uvm_copy_map,  returns type uvm_object
get
function
function, defined in class uvm_root,  returns type uvm_root
get
function
function, defined in class uvm_pool,  returns type T
get
function
function, defined in class uvm_object_string_pool,  returns type T
get
function
function, defined in class uvm_queue,  returns type T
get
function
function, defined in class uvm_factory,  returns type uvm_factory
get
function
function, defined in class uvm_component_registry,  returns type this_type
get
function
function, defined in class uvm_object_registry,  returns type this_type
get
function
function, defined in class uvm_resource_pool,  returns type uvm_resource_pool
get
function
function, defined in class uvm_config_db,  returns type bit
get
function
function, defined in class uvm_typeid,  returns type uvm_typeid
get
function
function, defined in class uvm_callbacks,  returns type this_type
get
function
function, defined in class uvm_derived_callbacks,  returns type this_type
get
function
function, defined in class uvm_test_done_objection,  returns type uvm_test_done_objection
get
function
function, defined in class uvm_build_phase,  returns type uvm_build_phase
get
function
function, defined in class uvm_connect_phase,  returns type uvm_connect_phase
get
function
function, defined in class uvm_end_of_elaboration_phase,  returns type uvm_end_of_elaboration_phase
get
function
function, defined in class uvm_start_of_simulation_phase,  returns type uvm_start_of_simulation_phase
get
function
function, defined in class uvm_run_phase,  returns type uvm_run_phase
get
function
function, defined in class uvm_extract_phase,  returns type uvm_extract_phase
get
function
function, defined in class uvm_check_phase,  returns type uvm_check_phase
get
function
function, defined in class uvm_report_phase,  returns type uvm_report_phase
get
function
function, defined in class uvm_final_phase,  returns type uvm_final_phase
get
function
function, defined in class uvm_pre_reset_phase,  returns type uvm_pre_reset_phase
get
function
function, defined in class uvm_reset_phase,  returns type uvm_reset_phase
get
function
function, defined in class uvm_post_reset_phase,  returns type uvm_post_reset_phase
get
function
function, defined in class uvm_pre_configure_phase,  returns type uvm_pre_configure_phase
get
function
function, defined in class uvm_configure_phase,  returns type uvm_configure_phase
get
function
function, defined in class uvm_post_configure_phase,  returns type uvm_post_configure_phase
get
function
function, defined in class uvm_pre_main_phase,  returns type uvm_pre_main_phase
get
function
function, defined in class uvm_main_phase,  returns type uvm_main_phase
get
function
function, defined in class uvm_post_main_phase,  returns type uvm_post_main_phase
get
function
function, defined in class uvm_pre_shutdown_phase,  returns type uvm_pre_shutdown_phase
get
function
function, defined in class uvm_shutdown_phase,  returns type uvm_shutdown_phase
get
function
function, defined in class uvm_post_shutdown_phase,  returns type uvm_post_shutdown_phase
get
task
defined in class uvm_tlm_if_base
get
task
defined in class uvm_sqr_if_base
get
task
defined in class uvm_blocking_get_imp
get
task
defined in class uvm_get_imp
get
task
defined in class uvm_blocking_get_peek_imp
get
task
defined in class uvm_get_peek_imp
get
task
defined in class uvm_blocking_master_imp
get
task
defined in class uvm_master_imp
get
task
defined in class uvm_blocking_slave_imp
get
task
defined in class uvm_slave_imp
get
task
defined in class uvm_blocking_get_port
get
task
defined in class uvm_get_port
get
task
defined in class uvm_blocking_get_peek_port
get
task
defined in class uvm_get_peek_port
get
task
defined in class uvm_blocking_master_port
get
task
defined in class uvm_master_port
get
task
defined in class uvm_blocking_slave_port
get
task
defined in class uvm_slave_port
get
task
defined in class uvm_blocking_get_export
get
task
defined in class uvm_get_export
get
task
defined in class uvm_blocking_get_peek_export
get
task
defined in class uvm_get_peek_export
get
task
defined in class uvm_blocking_master_export
get
task
defined in class uvm_master_export
get
task
defined in class uvm_blocking_slave_export
get
task
defined in class uvm_slave_export
get
task
defined in class uvm_tlm_fifo_base
get
task
defined in class uvm_tlm_fifo
get
task
defined in class uvm_seq_item_pull_port
get
task
defined in class uvm_seq_item_pull_export
get
task
defined in class uvm_seq_item_pull_imp
get
task
defined in class uvm_sequencer
get
function
function, defined in class uvm_reg_field,  returns type uvm_reg_data_t
get
function
function, defined in class uvm_reg,  returns type uvm_reg_data_t
get
function
function, defined in class uvm_reg_indirect_data,  returns type uvm_reg_data_t
get
function
function, defined in class uvm_reg_fifo,  returns type uvm_reg_data_t
get
function
function, defined in class svt_debug_opts,  returns type svt_debug_opts
get
function
function, defined in class svt_randomize_assistant,  returns type svt_randomize_assistant
get
function
function, defined in class svt_config_int_db,  returns type bit
get
function
function, defined in class svt_config_string_db,  returns type bit
get
function
function, defined in class svt_config_object_db,  returns type bit
get
function
function, defined in class svt_config_vif_db,  returns type bit
get
task
defined in class svt_reactive_driver
get
function
function, defined in class svt_named_factory_override,  returns type this_type
get
function
function, defined in class svt_type_factory_override,  returns type this_type
get
task
defined in class uvm_blocking_get_imp_snp_xact
get
task
defined in class svt_chi_sn_protocol_monitor
get
task
defined in class svt_chi_interconnect
get_abstime
function
function, defined in class uvm_tlm_time,  returns type real
get_accept_realtime
function
function, defined in class svt_sequence_item,  returns type realtime
get_accept_time
function
function, defined in class uvm_transaction,  returns type time
get_access
function
function, defined in class uvm_reg_field,  returns type string
get_access
function
function, defined in class uvm_vreg_field,  returns type string
get_access
function
function, defined in class uvm_vreg,  returns type string
get_access
function
function, defined in class uvm_mem,  returns type string
get_action
function
function, defined in class uvm_report_handler,  returns type uvm_action
get_action
function
function, defined in class uvm_report_catcher,  returns type uvm_action
get_active_participating_node_indices
function
function, defined in class svt_chi_system_configuration,  returns type void
get_adapter
function
function, defined in class uvm_reg_map,  returns type uvm_reg_adapter
get_addr
function
function, defined in class svt_mem_word,  returns type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0]
get_addr
function
function, defined in class svt_axi_cache_line,  returns type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0]
get_addr_at_index
function
function, defined in class svt_axi_cache,  returns type bit
get_addr_unit_bytes
function
function, defined in class uvm_reg_map,  returns type unsigned int
get_address
function
function, defined in class uvm_tlm_generic_payload,  returns type bit [63:0]
get_address
function
function, defined in class uvm_reg,  returns type uvm_reg_addr_t
get_address
function
function, defined in class uvm_vreg,  returns type uvm_reg_addr_t
get_address
function
function, defined in class uvm_mem,  returns type uvm_reg_addr_t
get_addresses
function
function, defined in class uvm_reg,  returns type int
get_addresses
function
function, defined in class uvm_mem,  returns type int
get_addrspace
function
function, defined in class svt_mem_word,  returns type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0]
get_age
function
function, defined in class svt_axi_cache_line,  returns type longint
get_age
function
function, defined in class svt_axi_cache,  returns type bit
get_aligned_addr
function
function, defined in class svt_mem,  returns type bit
get_all_info
function arg
arg type input bit, defined in function svt_chi_common_transaction :: get_tx_rsp_timing_info
get_all_info
function arg
arg type input bit, defined in function svt_chi_common_transaction :: get_rx_rsp_timing_info
get_allocated_associative_cache_way_number
function
function, defined in class svt_axi_cache,  returns type int
get_any_index
function
function, defined in class svt_axi_cache,  returns type int
get_arbitration
function
function, defined in class uvm_sequencer_base,  returns type no type
get_arg
function
function, defined in class uvm_scope_stack,  returns type string
get_arg_matches
function
function, defined in class uvm_cmdline_processor,  returns type int
get_arg_value
function
function, defined in class uvm_cmdline_processor,  returns type int
get_arg_values
function
function, defined in class uvm_cmdline_processor,  returns type int
get_args
function
function, defined in class uvm_cmdline_processor,  returns type void
get_associative_cache_set_index
function
function, defined in class svt_axi_cache,  returns type int
get_associative_cache_tag
function
function, defined in class svt_axi_cache,  returns type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0]
get_associative_cache_way_number
function
function, defined in class svt_axi_cache,  returns type int
get_atomic_max_byte_address
function
function, defined in class svt_chi_transaction,  returns type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0]
get_atomic_max_byte_idx
function
function, defined in class svt_chi_transaction,  returns type int
get_atomic_min_byte_address
function
function, defined in class svt_chi_transaction,  returns type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0]
get_atomic_min_byte_idx
function
function, defined in class svt_chi_transaction,  returns type int
get_atomic_read_data_from_mem_to_transaction
task
defined in class svt_chi_memory
get_atomic_read_data_from_mem_to_transaction
task
defined in class svt_chi_sn_transaction_base_sequence
get_auto_predict
function
function, defined in class uvm_reg_map,  returns type bit
get_backdoor
function
function, defined in class uvm_reg,  returns type uvm_reg_backdoor
get_backdoor
function
function, defined in class uvm_reg_block,  returns type uvm_reg_backdoor
get_backdoor
function
function, defined in class uvm_mem,  returns type uvm_reg_backdoor
get_bar
function
function, defined in class svt_amba_pv_extension,  returns type bar_t
get_base_addr
function
function, defined in class uvm_reg_map,  returns type uvm_reg_addr_t
get_base_cfg
function
function, defined in class svt_sequence_item,  returns type svt_configuration
get_base_response
task
defined in class uvm_sequence_base
get_basic_check_info
function
function, defined in class svt_err_check_stats,  returns type string
get_basic_check_message
function
function, defined in class svt_err_check_stats,  returns type string
get_begin_node
function
function, defined in class uvm_phase,  returns type uvm_phase
get_begin_realtime
function
function, defined in class svt_sequence_item,  returns type realtime
get_begin_time
function
function, defined in class uvm_transaction,  returns type time
get_bit
function
function, defined in class uvm_packer,  returns type bit unsigned
get_bits
function
function, defined in class uvm_packer,  returns type void
get_block
function
function, defined in class uvm_reg,  returns type uvm_reg_block
get_block
function
function, defined in class uvm_reg_file,  returns type uvm_reg_block
get_block
function
function, defined in class uvm_vreg,  returns type uvm_reg_block
get_block
function
function, defined in class uvm_mem,  returns type uvm_reg_block
get_block_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_reg_block
get_blocks
function
function, defined in class uvm_reg_block,  returns type void
get_burst
function
function, defined in class svt_amba_pv_extension,  returns type burst_t
get_by_name
function
function, defined in class uvm_resource_pool,  returns type uvm_resource_base
get_by_name
function
function, defined in class uvm_resource,  returns type this_type
get_by_name
function
function, defined in class uvm_int_rsrc,  returns type this_subtype
get_by_name
function
function, defined in class uvm_string_rsrc,  returns type this_subtype
get_by_name
function
function, defined in class uvm_obj_rsrc,  returns type this_subtype
get_by_name
function
function, defined in class uvm_bit_rsrc,  returns type this_subtype
get_by_name
function
function, defined in class uvm_byte_rsrc,  returns type this_subtype
get_by_name
function
function, defined in class uvm_resource_db,  returns type rsrc_t
get_by_type
function
function, defined in class uvm_resource_pool,  returns type uvm_resource_base
get_by_type
function
function, defined in class uvm_resource,  returns type this_type
get_by_type
function
function, defined in class uvm_int_rsrc,  returns type this_subtype
get_by_type
function
function, defined in class uvm_string_rsrc,  returns type this_subtype
get_by_type
function
function, defined in class uvm_obj_rsrc,  returns type this_subtype
get_by_type
function
function, defined in class uvm_bit_rsrc,  returns type this_subtype
get_by_type
function
function, defined in class uvm_byte_rsrc,  returns type this_subtype
get_by_type
function
function, defined in class uvm_resource_db,  returns type rsrc_t
get_byte
function
function, defined in class uvm_packer,  returns type unsigned byte
get_byte_enable
function
function, defined in class uvm_tlm_generic_payload,  returns type void
get_byte_enable_length
function
function, defined in class uvm_tlm_generic_payload,  returns type unsigned int
get_bytes
function
function, defined in class uvm_packer,  returns type void
get_cache_handle
function
function, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence,  returns type svt_axi_cache
get_cache_line
function
function, defined in class svt_axi_cache,  returns type svt_axi_cache_line
get_cache_line_content_str
function
function, defined in class svt_axi_cache_line,  returns type string
get_cache_line_value_str
function
function, defined in class svt_axi_cache_line,  returns type string
get_cache_status
function
function, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence,  returns type bit
get_cache_status
function
function, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence,  returns type bit
get_cache_type
function
function, defined in class svt_axi_cache_line,  returns type bit [3:0]
get_cache_type
function
function, defined in class svt_axi_cache,  returns type bit
get_cah
function
function, defined in class svt_axi_cache,  returns type bit
get_causal_ref
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_cb
function
function, defined in class uvm_callback_iter,  returns type CB
get_cfg
function
function, defined in class svt_component,  returns type void
get_cfg
function
function, defined in class svt_driver,  returns type void
get_cfg
function
function, defined in class svt_monitor,  returns type void
get_cfg
function
function, defined in class svt_sequencer,  returns type void
get_cfg
function
function, defined in class svt_agent,  returns type void
get_cfg
function
function, defined in class svt_env,  returns type void
get_cfg
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_link_service_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_flit_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type void
get_cfg
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type void
get_check_id_str
function
function, defined in class svt_err_check_stats,  returns type string
get_check_info
function
function, defined in class svt_err_check_stats,  returns type string
get_check_message
function
function, defined in class svt_err_check_stats,  returns type string
get_check_msg
function
function, defined in class svt_err_check,  returns type string
get_check_on_read
function
function, defined in class uvm_reg_map,  returns type bit
get_check_prop_val
function
function, defined in class svt_configuration,  returns type bit
get_check_stats
function
function, defined in class svt_err_check_stats,  returns type string
get_check_stats
function
function, defined in class svt_err_check,  returns type svt_err_check_stats
get_child
function
function, defined in class uvm_component,  returns type uvm_component
get_children
function
function, defined in class uvm_component,  returns type void
get_class_name
function
function, defined in class svt_sequence_item_base,  returns type string
get_class_name
function
function, defined in class svt_err_check_stats,  returns type string
get_class_name
function
function, defined in class svt_fsm_state_base,  returns type string
get_class_name
function
function, defined in class svt_chi_link_txla_stop_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_txla_activate_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_txla_run_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_txla_deactivate_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_rxla_stop_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_rxla_activate_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_rxla_run_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_rxla_deactivate_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_sysco_coherency_disabled_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_sysco_coherency_connect_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_sysco_coherency_enabled_state,  returns type string
get_class_name
function
function, defined in class svt_chi_link_sysco_coherency_disconnect_state,  returns type string
get_clean_realtimes
function
function, defined in class svt_sequence_item,  returns type void
get_clean_times
function
function, defined in class svt_sequence_item,  returns type void
get_client
function
function, defined in class uvm_report_catcher,  returns type uvm_report_object
get_code_group
function
function, defined in class svt_data_converter,  returns type bit
get_code_group
function
function, defined in class svt_8b10b_data,  returns type string
get_coh_rsp_gen_latency_for_l3_cache_hit
function
function, defined in class svt_chi_system_transaction,  returns type void
get_command
function
function, defined in class uvm_tlm_generic_payload,  returns type uvm_tlm_command_e
get_common_domain
function
function, defined in class uvm_domain,  returns type uvm_domain
get_comp
function
function, defined in class uvm_port_base,  returns type uvm_port_component_base
get_compack_realtime
function
function, defined in class svt_chi_transaction,  returns type real
get_compare
function
function, defined in class uvm_reg_field,  returns type uvm_check_e
get_compdata_src_id_for_dct
function
function, defined in class svt_chi_transaction,  returns type int
get_config
function
function, defined in class uvm_utils,  returns type TYPE
get_config_int
function
function, defined in class uvm_component,  returns type bit
get_config_object
function
function, defined in class uvm_component,  returns type bit
get_config_string
function
function, defined in class uvm_component,  returns type bit
get_connected_to
function
function, defined in class uvm_port_component_base,  returns type void
get_connected_to
function
function, defined in class uvm_port_component,  returns type void
get_connected_to
function
function, defined in class uvm_port_base,  returns type void
get_context
function
function, defined in class uvm_report_catcher,  returns type string
get_count
function
function, defined in class uvm_random_sequence,  returns type unsigned int
get_cov_prop_val
function
function, defined in class svt_configuration,  returns type bit
get_coverage
function
function, defined in class uvm_reg,  returns type bit
get_coverage
function
function, defined in class uvm_reg_block,  returns type bit
get_coverage
function
function, defined in class uvm_mem,  returns type bit
get_crc
function
function, defined in class svt_data_converter,  returns type bit [31:0]
get_crc
function
function, defined in class svt_sequence_item,  returns type bit [31:0]
get_crc_initial_value
function
function, defined in class svt_data_converter,  returns type bit [31:0]
get_crc_initial_value
function
function, defined in class svt_sequence_item,  returns type bit [31:0]
get_crc_polynomial
function
function, defined in class svt_data_converter,  returns type bit [31:0]
get_crc_polynomial
function
function, defined in class svt_sequence_item,  returns type bit [31:0]
get_current_item
function
function, defined in class uvm_sequencer_param_base,  returns type REQ
get_current_item
function
function, defined in class uvm_sequence,  returns type REQ
get_dat_flit_type_for_writes_atomics
function
function, defined in class svt_chi_transaction,  returns type dat_msg_type_enum
get_dat_timing_info
function
function, defined in class svt_chi_common_transaction,  returns type bit
get_data
function
function, defined in class uvm_tlm_generic_payload,  returns type void
get_data
function
function, defined in class svt_sequence_item_base_iter,  returns type svt_sequence_item_base
get_data
function
function, defined in class svt_sequence_item_base_queue_iter,  returns type svt_sequence_item_base
get_data
function
function, defined in class svt_sequence_item_iter,  returns type svt_sequence_item_base
get_data_check
function
function, defined in class svt_axi_cache,  returns type bit
get_data_check_passed
function
function, defined in class svt_axi_cache,  returns type bit
get_data_converter
function
function, defined in class svt_sequence_item,  returns type svt_data_converter
get_data_length
function
function, defined in class uvm_tlm_generic_payload,  returns type unsigned int
get_default_hdl_path
function
function, defined in class uvm_reg_file,  returns type string
get_default_hdl_path
function
function, defined in class uvm_reg_block,  returns type string
get_default_map
function
function, defined in class uvm_reg,  returns type uvm_reg_map
get_default_map
function
function, defined in class uvm_reg_block,  returns type uvm_reg_map
get_default_map
function
function, defined in class uvm_mem,  returns type uvm_reg_map
get_default_path
function
function, defined in class uvm_reg_block,  returns type uvm_path_e
get_demoted_id_count
function
function, defined in class svt_err_catcher,  returns type int
get_demoted_id_limit
function
function, defined in class svt_err_catcher,  returns type int
get_demoted_messages_count
function
function, defined in class svt_err_catcher,  returns type int
get_demoted_messages_limit
function
function, defined in class svt_err_catcher,  returns type int
get_depth
function
function, defined in class uvm_component,  returns type unsigned int
get_depth
function
function, defined in class uvm_sequence_item,  returns type int
get_description
function
function, defined in class svt_exception,  returns type string
get_description
function
function, defined in class svt_err_check_stats,  returns type string
get_description
function
function, defined in class svt_chi_common_transaction_exception,  returns type string
get_description
function
function, defined in class svt_chi_base_transaction_exception,  returns type string
get_description
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type string
get_description
function
function, defined in class svt_chi_transaction_exception,  returns type string
get_description
function
function, defined in class svt_chi_flit_exception,  returns type string
get_description
function
function, defined in class svt_chi_rn_transaction_exception,  returns type string
get_description
function
function, defined in class svt_chi_sn_transaction_exception,  returns type string
get_directed_addr
task
defined in class svt_chi_rn_coherent_transaction_base_sequence
get_do_compare_done
function
function, defined in class svt_sequence_item_base,  returns type bit
get_do_not_randomize
function
function, defined in class svt_sequence_item,  returns type bit
get_do_not_randomize
function
function, defined in class svt_sequence,  returns type bit
get_do_pack_done
function
function, defined in class svt_sequence_item_base,  returns type bit
get_domain
function
function, defined in class uvm_component,  returns type uvm_domain
get_domain
function
function, defined in class uvm_phase,  returns type uvm_domain
get_domain
function
function, defined in class svt_amba_pv_extension,  returns type domain_t
get_domain_item_for_node
function
function, defined in class svt_chi_system_configuration,  returns type svt_chi_system_domain_item
get_domain_item_for_node
function
function, defined in class svt_chi_address_configuration,  returns type svt_chi_system_domain_item
get_domain_name
function
function, defined in class uvm_phase,  returns type string
get_domains
function
function, defined in class uvm_domain,  returns type void
get_drain_time
function
function, defined in class uvm_objection,  returns type time
get_dvm_additional_address
function
function, defined in class svt_amba_pv_extension,  returns type longint unsigned
get_dvm_asid
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_dvm_home_node_id_for_rn
function
function, defined in class svt_chi_system_configuration,  returns type int
get_dvm_home_node_id_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type int
get_dvm_message_type
function
function, defined in class svt_amba_pv_extension,  returns type dvm_message_t
get_dvm_os
function
function, defined in class svt_amba_pv_extension,  returns type dvm_os_t
get_dvm_security
function
function, defined in class svt_amba_pv_extension,  returns type dvm_security_t
get_dvm_transaction
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_dvm_virtual_index
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_dvm_vmid
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_dynamic_cfg
function
function, defined in class svt_component,  returns type void
get_dynamic_cfg
function
function, defined in class svt_driver,  returns type void
get_dynamic_cfg
function
function, defined in class svt_monitor,  returns type void
get_dynamic_cfg
function
function, defined in class svt_sequencer,  returns type void
get_dynamic_cfg
function
function, defined in class svt_agent,  returns type void
get_dynamic_cfg
function
function, defined in class svt_env,  returns type void
get_end_node
function
function, defined in class uvm_phase,  returns type uvm_phase
get_end_offset
function
function, defined in class uvm_mem_region,  returns type bit [63:0]
get_end_realtime
function
function, defined in class svt_sequence_item,  returns type realtime
get_end_time
function
function, defined in class uvm_transaction,  returns type time
get_end_time
function
function, defined in class svt_debug_opts,  returns type longint
get_endian
function
function, defined in class uvm_reg_map,  returns type uvm_endianness_e
get_enter_time
function
function, defined in class svt_fsm_state_base,  returns type real
get_entire_byte_enable_pattern_type
function
function, defined in class svt_chi_transaction,  returns type byte_enable_pattern_enum
get_entire_write_data_pattern_type
function
function, defined in class svt_chi_transaction,  returns type data_pattern_enum
get_environment_variable_value
function
function, defined in class svt_sequence_item_base,  returns type string
get_err_check
function
function, defined in class svt_err_check,  returns type svt_err_check
get_err_check_name
function
function, defined in class svt_err_check_stats,  returns type string
get_err_check_name
function
function, defined in class svt_err_check,  returns type string
get_err_check_stats
function
function, defined in class svt_err_check,  returns type svt_err_check_stats
get_event_is_off
function
function, defined in class svt_event_pool,  returns type bit
get_event_is_on
function
function, defined in class svt_event_pool,  returns type bit
get_event_pool
function
function, defined in class uvm_transaction,  returns type uvm_event_pool
get_event_trigger_data
function
function, defined in class svt_event_pool,  returns type uvm_object
get_exception
function
function, defined in class svt_exception_list,  returns type T
get_exclusive_load_index
function
function, defined in class svt_chi_exclusive_monitor,  returns type bit
get_expired_time
function
function, defined in class svt_timer,  returns type real
get_extension
function
function, defined in class uvm_tlm_generic_payload,  returns type uvm_tlm_extension_base
get_field_by_name
function
function, defined in class uvm_reg,  returns type uvm_reg_field
get_field_by_name
function
function, defined in class uvm_vreg,  returns type uvm_vreg_field
get_field_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_reg_field
get_fields
function
function, defined in class uvm_reg,  returns type void
get_fields
function
function, defined in class uvm_vreg,  returns type void
get_fields
function
function, defined in class uvm_reg_block,  returns type void
get_fields
function
function, defined in class uvm_reg_map,  returns type void
get_file_handle
function
function, defined in class uvm_report_handler,  returns type UVM_FILE
get_file_handle
function
function, defined in class svt_vip_writer,  returns type int
get_filename
function
function, defined in class svt_sequence_item_report,  returns type string
get_filter_cnt
function
function, defined in class svt_err_check_report_catcher,  returns type int
get_first
function
function, defined in class uvm_callbacks,  returns type CB
get_first_child
function
function, defined in class uvm_component,  returns type int
get_first_match
function arg
arg type input bit, defined in function svt_chi_transaction :: get_resperr_status
get_first_match
function arg
arg type input bit, defined in function svt_chi_snoop_transaction :: get_resperr_status
get_flit_timing_info
function
function, defined in class svt_chi_rn_transaction_random_sequence,  returns type void
get_fname
function
function, defined in class uvm_report_catcher,  returns type string
get_format_type
function
function, defined in class svt_vip_writer,  returns type int
get_from_parent
function
function, defined in class svt_config_object_db,  returns type bit
get_frontdoor
function
function, defined in class uvm_reg,  returns type uvm_reg_frontdoor
get_frontdoor
function
function, defined in class uvm_mem,  returns type uvm_reg_frontdoor
get_fsm
function
function, defined in class svt_fsm_state_base,  returns type svt_fsm
get_fsm
function
function, defined in class svt_fsm_state,  returns type svt_fsm
get_full_hdl_path
function
function, defined in class uvm_reg,  returns type void
get_full_hdl_path
function
function, defined in class uvm_reg_file,  returns type void
get_full_hdl_path
function
function, defined in class uvm_reg_block,  returns type void
get_full_hdl_path
function
function, defined in class uvm_mem,  returns type void
get_full_name
function
function, defined in class uvm_object,  returns type string
get_full_name
function
function, defined in class uvm_component,  returns type string
get_full_name
function
function, defined in class uvm_phase,  returns type string
get_full_name
function
function, defined in class uvm_sequence_item,  returns type string
get_full_name
function
function, defined in class uvm_port_base,  returns type string
get_full_name
function
function, defined in class uvm_reg_field,  returns type string
get_full_name
function
function, defined in class uvm_vreg_field,  returns type string
get_full_name
function
function, defined in class uvm_reg,  returns type string
get_full_name
function
function, defined in class uvm_reg_file,  returns type string
get_full_name
function
function, defined in class uvm_vreg,  returns type string
get_full_name
function
function, defined in class uvm_reg_block,  returns type string
get_full_name
function
function, defined in class uvm_mem,  returns type string
get_full_name
function
function, defined in class uvm_reg_map,  returns type string
get_full_name
function
function, defined in class svt_err_check_stats,  returns type string
get_full_scope_arg
function
function, defined in class uvm_status_container,  returns type string
get_function_type
function
function, defined in class uvm_status_container,  returns type string
get_fuse_length
function
function, defined in class svt_timer,  returns type real
get_global
function
function, defined in class uvm_pool,  returns type T
get_global
function
function, defined in class uvm_object_string_pool,  returns type T
get_global
function
function, defined in class uvm_queue,  returns type T
get_global_pool
function
function, defined in class uvm_pool,  returns type this_type
get_global_pool
function
function, defined in class uvm_object_string_pool,  returns type this_type
get_global_queue
function
function, defined in class uvm_queue,  returns type this_type
get_group
function
function, defined in class svt_err_check_stats,  returns type string
get_group
function
function, defined in class svt_traffic_arbiter,  returns type int
get_group_synchronisation_spec
task
defined in class svt_traffic_arbiter
get_hdl_path
function
function, defined in class uvm_reg,  returns type void
get_hdl_path
function
function, defined in class uvm_reg_file,  returns type void
get_hdl_path
function
function, defined in class uvm_reg_block,  returns type void
get_hdl_path
function
function, defined in class uvm_mem,  returns type void
get_hdl_path_kinds
function
function, defined in class uvm_reg,  returns type void
get_hdl_path_kinds
function
function, defined in class uvm_mem,  returns type void
get_highest_precedence
function
function, defined in class uvm_resource_pool,  returns type uvm_resource_base
get_highest_precedence
function
function, defined in class uvm_resource,  returns type this_type
get_hn_addr_ranges_for_hn_idx
function
function, defined in class svt_chi_system_configuration,  returns type void
get_hn_addr_ranges_for_hn_idx
function
function, defined in class svt_chi_address_configuration,  returns type void
get_hn_addr_ranges_for_hn_type
function
function, defined in class svt_chi_system_configuration,  returns type void
get_hn_addr_ranges_for_hn_type
function
function, defined in class svt_chi_address_configuration,  returns type void
get_hn_end_addr
function
function, defined in class svt_chi_system_configuration,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_hn_end_addr
function
function, defined in class svt_chi_address_configuration,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_hn_idx
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_idx
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_idx_for_hn_node_id
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_idx_for_hn_node_id
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_idx_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_idx_for_xact
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_interface_type
function
function, defined in class svt_chi_system_configuration,  returns type hn_interface_type_enum
get_hn_interface_type
function
function, defined in class svt_chi_address_configuration,  returns type hn_interface_type_enum
get_hn_node_id
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_node_id
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_node_id_for_addr
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_node_id_for_addr
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_node_id_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type int
get_hn_node_id_for_xact
function
function, defined in class svt_chi_address_configuration,  returns type int
get_hn_node_indices_of_hn_type
function
function, defined in class svt_chi_system_configuration,  returns type void
get_hn_start_addr
function
function, defined in class svt_chi_system_configuration,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_hn_start_addr
function
function, defined in class svt_chi_address_configuration,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_id
function
function, defined in class uvm_report_catcher,  returns type string
get_id
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_id_count
function
function, defined in class uvm_report_server,  returns type int
get_ids_to_demote
function
function, defined in class svt_err_catcher,  returns type string_q_t
get_if
function
function, defined in class uvm_port_base,  returns type uvm_port_base
get_imp
function
function, defined in class uvm_phase,  returns type uvm_phase
get_implementation
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_implementation_xact_factory
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_incr
function
function, defined in class uvm_vreg,  returns type unsigned int
get_index
function
function, defined in class svt_axi_cache_line,  returns type int
get_index_for_addr
function
function, defined in class svt_axi_cache,  returns type int
get_indirect_reg
function
function, defined in class uvm_reg_indirect_data,  returns type uvm_reg
get_initiated_coherent_xact
task
defined in class svt_chi_rn_protocol_monitor
get_initiated_snoop_xact
task
defined in class svt_chi_rn_protocol_monitor
get_initiated_xact
task
defined in class svt_chi_sn_protocol_monitor
get_initiator
function
function, defined in class uvm_transaction,  returns type uvm_component
get_input_xacts_for_output_event
function
function, defined in class svt_traffic_arbiter,  returns type void
get_inst
function
function, defined in class uvm_cmdline_processor,  returns type uvm_cmdline_processor
get_inst_count
function
function, defined in class uvm_object,  returns type int
get_inst_id
function
function, defined in class uvm_object,  returns type int
get_instance
function
function, defined in class svt_timer,  returns type string
get_int
function
function, defined in class uvm_packer,  returns type unsigned int
get_ints
function
function, defined in class uvm_packer,  returns type void
get_is_active
function
function, defined in class uvm_agent,  returns type uvm_active_passive_enum
get_is_clean
function
function, defined in class svt_axi_cache_line,  returns type bit
get_is_debug_enabled
function
function, defined in class svt_sequencer,  returns type bit
get_is_empty
function
function, defined in class svt_axi_cache_line,  returns type bit
get_is_enabled
function
function, defined in class svt_err_check_stats,  returns type bit
get_is_internal
function
function, defined in class svt_sequence_item,  returns type bit
get_is_running
function
function, defined in class svt_component,  returns type bit
get_is_running
function
function, defined in class svt_driver,  returns type bit
get_is_running
function
function, defined in class svt_monitor,  returns type bit
get_is_running
function
function, defined in class svt_sequencer,  returns type bit
get_is_running
function
function, defined in class svt_agent,  returns type bit
get_is_running
function
function, defined in class svt_env,  returns type bit
get_is_unique
function
function, defined in class svt_axi_cache_line,  returns type bit
get_item
function
function, defined in class uvm_reg_adapter,  returns type uvm_reg_item
get_jump_target
function
function, defined in class uvm_phase,  returns type uvm_phase
get_kind
function
function, defined in class svt_comparer,  returns type int
get_kind
function
function, defined in class svt_packer,  returns type int
get_last
function
function, defined in class uvm_callbacks,  returns type CB
get_last_is_enabled
function
function, defined in class svt_err_check_stats,  returns type bit
get_latency_metrics
function
function, defined in class svt_chi_system_transaction,  returns type void
get_least_recently_used
function
function, defined in class svt_axi_cache,  returns type int
get_leave_time
function
function, defined in class svt_fsm_state_base,  returns type real
get_len
function
function, defined in class uvm_mem_region,  returns type unsigned int
get_length
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_line
function
function, defined in class uvm_report_catcher,  returns type int
get_local_map
function
function, defined in class uvm_reg,  returns type uvm_reg_map
get_local_map
function
function, defined in class uvm_mem,  returns type uvm_reg_map
get_local_map
function
function, defined in class uvm_reg_indirect_data,  returns type uvm_reg_map
get_log_file
function
function, defined in class svt_logger,  returns type int
get_logging_on
function
function, defined in class svt_logger,  returns type bit
get_lsb_pos
function
function, defined in class uvm_reg_field,  returns type unsigned int
get_lsb_pos_in_register
function
function, defined in class uvm_vreg_field,  returns type unsigned int
get_map_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_reg_map
get_maps
function
function, defined in class uvm_reg,  returns type void
get_maps
function
function, defined in class uvm_vreg,  returns type void
get_maps
function
function, defined in class uvm_reg_block,  returns type void
get_maps
function
function, defined in class uvm_mem,  returns type void
get_max_byte_address
function
function, defined in class svt_chi_transaction,  returns type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0]
get_max_byte_idx
function
function, defined in class svt_chi_transaction,  returns type int
get_max_quit_count
function
function, defined in class uvm_report_server,  returns type int
get_max_size
function
function, defined in class uvm_reg_field,  returns type unsigned int
get_max_size
function
function, defined in class uvm_reg,  returns type unsigned int
get_max_size
function
function, defined in class uvm_mem,  returns type unsigned int
get_mcd_class_name
function
function, defined in class svt_sequence_item_base,  returns type string
get_mcd_class_name
function
function, defined in class svt_8b10b_data,  returns type string
get_mcd_class_name
function
function, defined in class svt_mem,  returns type string
get_mcd_class_name
function
function, defined in class svt_fifo_rate_control_configuration,  returns type string
get_mcd_class_name
function
function, defined in class svt_fifo_rate_control,  returns type string
get_mcd_class_name
function
function, defined in class svt_traffic_profile_transaction,  returns type string
get_mcd_class_name
function
function, defined in class svt_amba_addr_mapper,  returns type string
get_mcd_class_name
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type string
get_mcd_class_name
function
function, defined in class svt_axi_cache,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_hn_addr_range,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_system_domain_item,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_address_configuration,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_protocol_service,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_link_service,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_common_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_common_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_base_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_base_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_snoop_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_flit_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_flit_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_rn_reg_transaction,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_rn_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_rn_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_system_transaction,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_sn_transaction_exception,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_sn_transaction_exception_list,  returns type string
get_mcd_class_name
function
function, defined in class svt_chi_system_monitor_system_data,  returns type string
get_mecid
function
function, defined in class svt_axi_cache,  returns type bit
get_mem_access_to_coh_rsp_gen_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_mem_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_mem
get_mem_by_offset
function
function, defined in class uvm_reg_map,  returns type uvm_mem
get_mem_map_info
function
function, defined in class uvm_reg_map,  returns type uvm_reg_map_info
get_memories
function
function, defined in class uvm_reg_block,  returns type void
get_memories
function
function, defined in class uvm_reg_map,  returns type void
get_memory
function
function, defined in class uvm_vreg,  returns type uvm_mem
get_memory
function
function, defined in class uvm_mem_region,  returns type uvm_mem
get_memory
function
function, defined in class uvm_mem_mam,  returns type uvm_mem
get_message
function
function, defined in class uvm_report_catcher,  returns type string
get_messages_to_demote
function
function, defined in class svt_err_catcher,  returns type string_q_t
get_min_byte_address
function
function, defined in class svt_chi_transaction,  returns type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0]
get_min_byte_idx
function
function, defined in class svt_chi_transaction,  returns type int
get_mirrored_value
function
function, defined in class uvm_reg_field,  returns type uvm_reg_data_t
get_mirrored_value
function
function, defined in class uvm_reg,  returns type uvm_reg_data_t
get_mn_addr_range_index
function
function, defined in class svt_chi_address_configuration,  returns type int
get_mn_addr_ranges_for_mn_idx
function
function, defined in class svt_chi_system_configuration,  returns type void
get_mn_addr_ranges_for_mn_idx
function
function, defined in class svt_chi_address_configuration,  returns type void
get_n_bits
function
function, defined in class uvm_reg_field,  returns type unsigned int
get_n_bits
function
function, defined in class uvm_vreg_field,  returns type unsigned int
get_n_bits
function
function, defined in class uvm_reg,  returns type unsigned int
get_n_bits
function
function, defined in class uvm_mem,  returns type unsigned int
get_n_bytes
function
function, defined in class uvm_reg,  returns type unsigned int
get_n_bytes
function
function, defined in class uvm_vreg,  returns type unsigned int
get_n_bytes
function
function, defined in class uvm_mem,  returns type unsigned int
get_n_bytes
function
function, defined in class uvm_reg_map,  returns type unsigned int
get_n_bytes
function
function, defined in class uvm_mem_region,  returns type unsigned int
get_n_maps
function
function, defined in class uvm_reg,  returns type int
get_n_maps
function
function, defined in class uvm_vreg,  returns type int
get_n_maps
function
function, defined in class uvm_mem,  returns type int
get_n_memlocs
function
function, defined in class uvm_vreg,  returns type unsigned int
get_name
function
function, defined in class uvm_object,  returns type string
get_name
function
function, defined in class uvm_port_base,  returns type string
get_name
function
function, defined in class uvm_tlm_time,  returns type string
get_name
function
function, defined in class svt_fsm,  returns type string
get_name
function
function, defined in class svt_fsm_state_base,  returns type string
get_name
function
function, defined in class svt_fsm_state,  returns type string
get_next
function
function, defined in class uvm_callbacks,  returns type CB
get_next_child
function
function, defined in class uvm_component,  returns type int
get_next_item
task
defined in class uvm_sqr_if_base
get_next_item
task
defined in class uvm_seq_item_pull_port
get_next_item
task
defined in class uvm_seq_item_pull_export
get_next_item
task
defined in class uvm_seq_item_pull_imp
get_next_item
task
defined in class uvm_sequencer
get_next_item
task
defined in class svt_sequencer
get_next_state_choice
task
defined in class svt_fsm_state_base
get_next_xact
task
defined in class svt_downstream_imp
get_no_exclusive_sequence_started
function
function, defined in class svt_chi_exclusive_monitor,  returns type bit
get_ns_from_tagged_addr
function
function, defined in class svt_chi_transaction,  returns type bit
get_num_children
function
function, defined in class uvm_component,  returns type int
get_num_completed_performance_monitoring_intervals
function
function, defined in class svt_chi_node_perf_status,  returns type int
get_num_extensions
function
function, defined in class uvm_tlm_generic_payload,  returns type int
get_num_hn_nodes_for_hn_type
function
function, defined in class svt_chi_system_configuration,  returns type int
get_num_hn_nodes_for_hn_type
function
function, defined in class svt_chi_address_configuration,  returns type int
get_num_last_reqs
function
function, defined in class uvm_sequencer_param_base,  returns type unsigned int
get_num_last_rsps
function
function, defined in class uvm_sequencer_param_base,  returns type unsigned int
get_num_performance_monitoring_intervals
function
function, defined in class svt_chi_node_perf_status,  returns type int
get_num_reqs_sent
function
function, defined in class uvm_sequencer_param_base,  returns type int
get_num_rsps_received
function
function, defined in class uvm_sequencer_param_base,  returns type int
get_num_waiters
function
function, defined in class uvm_barrier,  returns type int
get_num_waiters
function
function, defined in class uvm_event,  returns type int
get_object_from_uid
function
function, defined in class svt_vip_writer,  returns type svt_vip_writer_object
get_object_type
function
function, defined in class uvm_recorder,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_object,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_sequence_item,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_class_pair,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_built_in_pair,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_in_order_comparator,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_in_order_built_in_comparator,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_in_order_class_comparator,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_algorithmic_comparator,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_random_stimulus,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_sequence_library_cfg,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_sequence_library,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_exhaustive_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_simple_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_tlm_generic_payload,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_field,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_vreg_field,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_item,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_map,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_tlm_adapter,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_predictor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_backdoor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_read_only_cbs,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_write_only_cbs,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_hw_reset_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_single_bit_bash_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_bit_bash_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_mem_single_walk_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_mem_walk_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_mem_single_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_mem_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_single_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_mem_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_shared_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_mem_shared_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_mem_shared_access_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_mem_built_in_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_dispatch_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_fsm_state_base,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_err_catcher,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_traffic_arbiter,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_amba_pv_extension,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_service_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_flit_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_xact_type_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_null_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_transaction_dvm_sync_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_eobarrier_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_ecbarrier_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_go_noncoherent_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_read_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_exclusive_access_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_write_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class chi_rn_barrier_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class chi_rn_noncoherent_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class chi_rn_directed_noncoherent_xact_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_atomic_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_snoop_transaction_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_snoop_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_directed_snoop_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_snoop_transaction_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_snoop_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_transaction_memory_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_transaction_null_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_transaction_memory_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_suspend_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_random_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_reordering_response_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_coherency_exit_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_coherency_entry_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_protocol_service_random_coherency_exit_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_service_base_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_service_random_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_service_deactivate_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_service_activate_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_multi_node_random_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readshared_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readonce_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readnosnp_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readclean_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readspec_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_readunique_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_cleanunique_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_makeunique_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writebackfull_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writebackptl_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_evict_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_cleanshared_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_dvm_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_barrier_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_coherent_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class chi_rn_barrier_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_atomicswap_transaction_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_protocol,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_node_protocol_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_link,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_link_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_link,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_rn_link,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_rn_agent,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_tlm_generic_payload_sequencer,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_reg_adapter,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_rn_agent,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_protocol,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_link_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_link,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_sn_agent,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_ic_sn_agent,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_monitor,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_system_env,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_interconnect,  returns type uvm_object_wrapper
get_object_type
function
function, defined in class svt_chi_interconnect_env,  returns type uvm_object_wrapper
get_objection
function
function, defined in class uvm_phase,  returns type uvm_objection
get_objection_count
function
function, defined in class uvm_objection,  returns type int
get_objection_total
function
function, defined in class uvm_objection,  returns type int
get_objectors
function
function, defined in class uvm_objection,  returns type void
get_offset
function
function, defined in class uvm_reg,  returns type uvm_reg_addr_t
get_offset
function
function, defined in class uvm_mem,  returns type uvm_reg_addr_t
get_offset_in_memory
function
function, defined in class uvm_vreg,  returns type uvm_reg_addr_t
get_original_ref
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_overridden_sequence_member_values
task
defined in class svt_chi_system_coherent_virtual_sequence
get_pa_obj_data
function
function, defined in class svt_sequence_item_base,  returns type svt_pa_object_data
get_pa_obj_data
function
function, defined in class svt_sequence_item,  returns type svt_pa_object_data
get_pa_obj_data
function
function, defined in class svt_chi_system_monitor_system_data,  returns type svt_pa_object_data
get_packed_bits
function
function, defined in class uvm_packer,  returns type uvm_pack_bitstream_t
get_packed_size
function
function, defined in class uvm_packer,  returns type int
get_packer_max_bytes_required
function
function, defined in class svt_configuration,  returns type int
get_parent
function
function, defined in class uvm_component,  returns type uvm_component
get_parent
function
function, defined in class uvm_phase,  returns type uvm_phase
get_parent
function
function, defined in class uvm_port_base,  returns type uvm_component
get_parent
function
function, defined in class uvm_reg_field,  returns type uvm_reg
get_parent
function
function, defined in class uvm_vreg_field,  returns type uvm_vreg
get_parent
function
function, defined in class uvm_reg,  returns type uvm_reg_block
get_parent
function
function, defined in class uvm_reg_file,  returns type uvm_reg_block
get_parent
function
function, defined in class uvm_vreg,  returns type uvm_reg_block
get_parent
function
function, defined in class uvm_reg_block,  returns type uvm_reg_block
get_parent
function
function, defined in class uvm_mem,  returns type uvm_reg_block
get_parent
function
function, defined in class uvm_reg_map,  returns type uvm_reg_block
get_parent_map
function
function, defined in class uvm_reg_map,  returns type uvm_reg_map
get_parent_sequence
function
function, defined in class uvm_sequence_item,  returns type uvm_sequence_base
get_participating_node_indices
function
function, defined in class svt_chi_system_configuration,  returns type void
get_passive_participating_node_indices
function
function, defined in class svt_chi_system_configuration,  returns type void
get_pbha
function
function, defined in class svt_axi_cache,  returns type bit
get_perf_metric
function
function, defined in class svt_chi_node_perf_status,  returns type real
get_performance_report
function
function, defined in class svt_chi_node_pmu,  returns type string
get_phase_name
function
function, defined in class svt_sequence,  returns type string
get_phase_type
function
function, defined in class uvm_phase,  returns type uvm_phase_type
get_physical_addresses
function
function, defined in class uvm_reg_map,  returns type int
get_playback_callback_data_carrier
function
function, defined in class svt_debug_opts,  returns type svt_debug_opts_carrier
get_plusargs
function
function, defined in class uvm_cmdline_processor,  returns type void
get_poison
function
function, defined in class svt_axi_cache,  returns type bit
get_port
function
function, defined in class uvm_port_component,  returns type PORT
get_post_barrier_store_addr
function
function, defined in class svt_chi_system_barrier_sequence,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_pre_barrier_store_addr
function
function, defined in class svt_chi_system_barrier_sequence,  returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0]
get_prev
function
function, defined in class uvm_callbacks,  returns type CB
get_priority
function
function, defined in class uvm_sequence_base,  returns type int
get_prop_val
function
function, defined in class svt_sequence_item_base,  returns type bit
get_prop_val
function
function, defined in class svt_configuration,  returns type bit
get_prop_val
function
function, defined in class svt_exception,  returns type bit
get_prop_val
function
function, defined in class svt_exception_list,  returns type bit
get_prop_val
function
function, defined in class svt_err_check_stats,  returns type bit
get_prop_val
function
function, defined in class svt_err_check,  returns type bit
get_prop_val
function
function, defined in class svt_sequence_item,  returns type bit
get_prop_val
function
function, defined in class svt_8b10b_data,  returns type bit
get_prop_val
function
function, defined in class svt_mem,  returns type bit
get_prop_val
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
get_prop_val
function
function, defined in class svt_fifo_rate_control,  returns type bit
get_prop_val
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
get_prop_val
function
function, defined in class svt_amba_addr_mapper,  returns type bit
get_prop_val
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
get_prop_val
function
function, defined in class svt_axi_cache,  returns type bit
get_prop_val
function
function, defined in class svt_chi_hn_addr_range,  returns type bit
get_prop_val
function
function, defined in class svt_chi_system_domain_item,  returns type bit
get_prop_val
function
function, defined in class svt_chi_address_configuration,  returns type bit
get_prop_val
function
function, defined in class svt_chi_protocol_service,  returns type bit
get_prop_val
function
function, defined in class svt_chi_link_service,  returns type bit
get_prop_val
function
function, defined in class svt_chi_common_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_base_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_flit_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_rn_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_sn_transaction_exception,  returns type bit
get_prop_val
function
function, defined in class svt_chi_system_monitor_system_data,  returns type bit
get_prop_val_via_pattern
function
function, defined in class svt_sequence_item_base,  returns type bit
get_property
function
function, defined in class svt_mem,  returns type bit
get_prot_type
function
function, defined in class svt_axi_cache_line,  returns type void
get_prot_type
function
function, defined in class svt_axi_cache,  returns type bit
get_provided_to
function
function, defined in class uvm_port_component_base,  returns type void
get_provided_to
function
function, defined in class uvm_port_component,  returns type void
get_provided_to
function
function, defined in class uvm_port_base,  returns type void
get_qos
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_quit_count
function
function, defined in class uvm_report_server,  returns type int
get_radix_str
function
function, defined in class uvm_printer_knobs,  returns type string
get_rand_range_real
function
function, defined in class svt_randomize_assistant,  returns type real
get_random_active_participating_node_index
function
function, defined in class svt_chi_system_configuration,  returns type int
get_random_hn_node_index_of_hn_type
function
function, defined in class svt_chi_system_configuration,  returns type int
get_random_initial_cachestate
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type bit [2:0]
get_random_initial_empty_cachestate
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type bit
get_random_initial_partial_dirty_cachestate
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type bit
get_random_observer_index
function
function, defined in class svt_chi_system_barrier_sequence,  returns type unsigned int
get_randomized_exception
function
function, defined in class svt_exception_list,  returns type T
get_read_data_from_mem_to_transaction
task
defined in class svt_chi_memory
get_read_data_from_mem_to_transaction
task
defined in class svt_chi_sn_transaction_base_sequence
get_read_data_from_mem_to_transaction
task
defined in class svt_chi_ic_sn_transaction_base_sequence
get_read_fifo_rate_control_configs
function
function, defined in class svt_traffic_arbiter,  returns type bit
get_readreceipt_realtime
function
function, defined in class svt_chi_transaction,  returns type bit
get_ready_to_end_count
function
function, defined in class uvm_phase,  returns type unsigned int
get_realtime
function
function, defined in class uvm_tlm_time,  returns type real
get_recycled_xact
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_reference
function
function, defined in class svt_err_check_stats,  returns type string
get_reg_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_reg
get_reg_by_offset
function
function, defined in class uvm_reg_map,  returns type uvm_reg
get_reg_map_info
function
function, defined in class uvm_reg_map,  returns type uvm_reg_map_info
get_regfile
function
function, defined in class uvm_reg,  returns type uvm_reg_file
get_regfile
function
function, defined in class uvm_reg_file,  returns type uvm_reg_file
get_region
function
function, defined in class uvm_vreg,  returns type uvm_mem_region
get_region
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_register
function
function, defined in class uvm_reg_field,  returns type uvm_reg
get_register
function
function, defined in class uvm_vreg_field,  returns type uvm_vreg
get_registers
function
function, defined in class uvm_reg_block,  returns type void
get_registers
function
function, defined in class uvm_reg_map,  returns type void
get_remaining_time
function
function, defined in class svt_timer,  returns type real
get_report_action
function
function, defined in class uvm_report_object,  returns type int
get_report_catcher
function
function, defined in class uvm_report_catcher,  returns type uvm_report_catcher
get_report_file_handle
function
function, defined in class uvm_report_object,  returns type int
get_report_handler
function
function, defined in class uvm_report_object,  returns type uvm_report_handler
get_report_server
function
function, defined in class uvm_report_object,  returns type uvm_report_server
get_report_verbosity_level
function
function, defined in class uvm_report_object,  returns type int
get_req_accept_realtime
function
function, defined in class svt_chi_transaction,  returns type realtime
get_req_timing_info
function
function, defined in class svt_chi_common_transaction,  returns type bit
get_request_node_indices_in_inner_domain
function
function, defined in class svt_chi_system_configuration,  returns type void
get_request_node_indices_in_inner_domain
function
function, defined in class svt_chi_address_configuration,  returns type void
get_request_node_indices_in_outer_domain
function
function, defined in class svt_chi_system_configuration,  returns type void
get_request_node_indices_in_outer_domain
function
function, defined in class svt_chi_address_configuration,  returns type void
get_reserved_index
function
function, defined in class svt_axi_cache,  returns type int
get_reset
function
function, defined in class uvm_reg_field,  returns type uvm_reg_data_t
get_reset
function
function, defined in class uvm_reg,  returns type uvm_reg_data_t
get_resource_profiles_of_sequencer
task
defined in class svt_traffic_arbiter
get_resp
function
function, defined in class svt_amba_pv_extension,  returns type resp_t
get_resp_status_accept_realtime
function
function, defined in class svt_chi_transaction,  returns type realtime
get_resperr_status
function
function, defined in class svt_chi_transaction,  returns type bit
get_resperr_status
function
function, defined in class svt_chi_snoop_transaction,  returns type bit
get_response
task
defined in class uvm_sequence
get_response
function
function, defined in class svt_amba_pv_extension,  returns type svt_amba_pv_response
get_response_array
function
function, defined in class svt_amba_pv_extension,  returns type void
get_response_queue_depth
function
function, defined in class uvm_sequence_base,  returns type int
get_response_queue_error_report_disabled
function
function, defined in class uvm_sequence_base,  returns type bit
get_response_status
function
function, defined in class uvm_tlm_generic_payload,  returns type uvm_tlm_response_status_e
get_response_string
function
function, defined in class uvm_tlm_generic_payload,  returns type string
get_rights
function
function, defined in class uvm_reg,  returns type string
get_rights
function
function, defined in class uvm_vreg,  returns type string
get_rights
function
function, defined in class uvm_mem,  returns type string
get_rn_cache_status
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type bit
get_rn_connected_node_index
function
function, defined in class svt_chi_interconnect_configuration,  returns type int
get_rn_index
function
function, defined in class svt_chi_system_configuration,  returns type int
get_rn_virt_seqr
function
function, defined in class svt_chi_rn_transaction_base_sequence,  returns type void
get_rn_virt_seqr
function
function, defined in class svt_chi_snoop_transaction_base_sequence,  returns type void
get_rn_virt_seqr
function
function, defined in class svt_chi_protocol_service_base_sequence,  returns type void
get_rn_virt_seqr
function
function, defined in class svt_chi_link_service_base_sequence,  returns type void
get_root_blocks
function
function, defined in class uvm_reg_block,  returns type void
get_root_map
function
function, defined in class uvm_reg_map,  returns type uvm_reg_map
get_root_sequence
function
function, defined in class uvm_sequence_item,  returns type uvm_sequence_base
get_root_sequence_name
function
function, defined in class uvm_sequence_item,  returns type string
get_run_count
function
function, defined in class uvm_phase,  returns type int
get_rx_rsp_timing_info
function
function, defined in class svt_chi_common_transaction,  returns type bit
get_safe_accept_time
function
function, defined in class svt_sequence_item,  returns type time
get_safe_begin_time
function
function, defined in class svt_sequence_item,  returns type time
get_safe_end_time
function
function, defined in class svt_sequence_item,  returns type time
get_schedule
function
function, defined in class uvm_phase,  returns type uvm_phase
get_schedule_name
function
function, defined in class uvm_phase,  returns type string
get_scope
function
function, defined in class uvm_resource_base,  returns type string
get_seq_kind
function
function, defined in class uvm_sequencer_base,  returns type int
get_seq_kind
function
function, defined in class uvm_sequence_base,  returns type int
get_sequence
function
function, defined in class uvm_sequencer_base,  returns type uvm_sequence_base
get_sequence
function
function, defined in class uvm_sequence_base,  returns type uvm_sequence_base
get_sequence_by_name
function
function, defined in class uvm_sequence_base,  returns type uvm_sequence_base
get_sequence_id
function
function, defined in class uvm_sequence_item,  returns type int
get_sequence_path
function
function, defined in class uvm_sequence_item,  returns type string
get_sequence_state
function
function, defined in class uvm_sequence_base,  returns type no type
get_sequencer
function
function, defined in class uvm_sequence_item,  returns type uvm_sequencer_base
get_sequencer
function
function, defined in class uvm_reg_map,  returns type uvm_sequencer_base
get_sequencer
function
function, defined in class svt_traffic_arbiter,  returns type int
get_sequencer_resource_profile
function
function, defined in class svt_traffic_arbiter,  returns type int
get_sequencer_resource_profile_attr
function
function, defined in class svt_traffic_arbiter,  returns type int
get_sequencer_resource_profile_attr_count
function
function, defined in class svt_traffic_arbiter,  returns type int
get_sequences
function
function, defined in class uvm_sequence_library,  returns type void
get_server
function
function, defined in class uvm_report_handler,  returns type uvm_report_server
get_server
function
function, defined in class uvm_report_server,  returns type uvm_report_server
get_server
function
function, defined in class uvm_report_global_server,  returns type uvm_report_server
get_severity
function
function, defined in class uvm_report_catcher,  returns type uvm_severity
get_severity_count
function
function, defined in class uvm_report_server,  returns type int
get_six_sn_f_striping_addressable_space
function
function, defined in class svt_chi_system_configuration,  returns type bit
get_six_sn_f_striping_based_sn_f_idx
function
function, defined in class svt_chi_system_configuration,  returns type int
get_size
function
function, defined in class uvm_vreg,  returns type unsigned int
get_size
function
function, defined in class uvm_mem,  returns type longint unsigned
get_size
function
function, defined in class uvm_reg_map,  returns type unsigned int
get_size
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_slave_node_id_for_addr
function
function, defined in class svt_chi_system_configuration,  returns type int
get_slave_node_id_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type int
get_slave_req_gen_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_slave_route_port
function
function, defined in class svt_chi_system_configuration,  returns type void
get_slave_route_port_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type void
get_slave_xact_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_sn_connected_node_index
function
function, defined in class svt_chi_interconnect_configuration,  returns type int
get_sn_index
function
function, defined in class svt_chi_system_configuration,  returns type int
get_sn_node_id
function
function, defined in class svt_chi_system_configuration,  returns type int
get_sn_node_id
function
function, defined in class svt_chi_address_configuration,  returns type int
get_sn_node_id_for_xact
function
function, defined in class svt_chi_system_configuration,  returns type int
get_sn_node_id_for_xact
function
function, defined in class svt_chi_address_configuration,  returns type int
get_sn_virt_seqr
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type void
get_sn_virt_seqr
function
function, defined in class svt_chi_link_service_base_sequence,  returns type void
get_snoop
function
function, defined in class svt_amba_pv_extension,  returns type bit [3:0]
get_snoop_request_gen_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_snoop_response_to_coh_response_gen_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_snooped_masters_response_gen_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_snp_timing_info
function
function, defined in class svt_chi_common_transaction,  returns type bit
get_snp_xact
task
defined in class svt_chi_interconnect
get_start_offset
function
function, defined in class uvm_mem_region,  returns type bit [63:0]
get_start_time
function
function, defined in class svt_debug_opts,  returns type longint
get_start_time
function
function, defined in class svt_exception,  returns type real
get_start_time
function
function, defined in class svt_timer,  returns type real
get_state
function
function, defined in class uvm_phase,  returns type uvm_phase_state
get_static_cfg
function
function, defined in class svt_component,  returns type void
get_static_cfg
function
function, defined in class svt_driver,  returns type void
get_static_cfg
function
function, defined in class svt_monitor,  returns type void
get_static_cfg
function
function, defined in class svt_sequencer,  returns type void
get_static_cfg
function
function, defined in class svt_agent,  returns type void
get_static_cfg
function
function, defined in class svt_env,  returns type void
get_status
function
function, defined in class svt_axi_cache_line,  returns type void
get_status
function
function, defined in class svt_axi_cache,  returns type bit
get_status_obj
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type void
get_stop_time
function
function, defined in class svt_timer,  returns type real
get_streaming_width
function
function, defined in class uvm_tlm_generic_payload,  returns type unsigned int
get_sub_group
function
function, defined in class svt_err_check_stats,  returns type string
get_submap_offset
function
function, defined in class uvm_reg_map,  returns type uvm_reg_addr_t
get_submaps
function
function, defined in class uvm_reg_map,  returns type void
get_suite_name
function
function, defined in class svt_sequence_item_base,  returns type string
get_suite_name
function
function, defined in class svt_timer,  returns type string
get_suite_name
function
function, defined in class svt_uvm_cmd_assistant,  returns type string
get_suite_name
function
function, defined in class svt_component,  returns type string
get_suite_name
function
function, defined in class svt_driver,  returns type string
get_suite_name
function
function, defined in class svt_monitor,  returns type string
get_suite_name
function
function, defined in class svt_sequencer,  returns type string
get_suite_name
function
function, defined in class svt_agent,  returns type string
get_suite_name
function
function, defined in class svt_env,  returns type string
get_suite_name
function
function, defined in class svt_sequence,  returns type string
get_suite_name
function
function, defined in class svt_sequence_library,  returns type string
get_synchronization_spec
function
function, defined in class svt_traffic_arbiter,  returns type int
get_synchronization_spec_input_event
function
function, defined in class svt_traffic_arbiter,  returns type int
get_synchronization_spec_output_event
function
function, defined in class svt_traffic_arbiter,  returns type int
get_sys_cfg
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type svt_chi_system_configuration
get_tag
function
function, defined in class svt_axi_cache,  returns type bit
get_tagged_addresses_for_matched_cache_state
function
function, defined in class svt_axi_cache,  returns type bit
get_three_sn_f_striping_addressable_space
function
function, defined in class svt_chi_system_configuration,  returns type bit
get_three_sn_f_striping_based_sn_f_idx
function
function, defined in class svt_chi_system_configuration,  returns type int
get_threshold
function
function, defined in class uvm_barrier,  returns type int
get_timeunit_str
function
function, defined in class svt_sequence_item_base,  returns type string
get_tool_name
function
function, defined in class uvm_cmdline_processor,  returns type string
get_tool_version
function
function, defined in class uvm_cmdline_processor,  returns type string
get_tr_handle
function
function, defined in class uvm_transaction,  returns type integer
get_trace
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_trace_xact_factory
function
function, defined in class svt_sequence_item,  returns type svt_sequence_item
get_traffic_profile
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_profile_attr
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_profile_attr_count
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_profiles_of_sequencer
task
defined in class svt_traffic_arbiter
get_traffic_resource_profile
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_resource_profile_attr
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_resource_profile_attr_count
function
function, defined in class svt_traffic_arbiter,  returns type int
get_traffic_transactions
task
defined in class svt_traffic_arbiter
get_transaction_id
function
function, defined in class uvm_transaction,  returns type integer
get_transaction_latency
function
function, defined in class svt_chi_system_transaction,  returns type void
get_transcript_fh
function
function, defined in class svt_debug_opts,  returns type int
get_trigger_data
function
function, defined in class uvm_event,  returns type uvm_object
get_trigger_time
function
function, defined in class uvm_event,  returns type time
get_tx_rsp_timing_info
function
function, defined in class svt_chi_common_transaction,  returns type bit
get_type
function
function, defined in class uvm_recorder,  returns type type_id
get_type
function
function, defined in class uvm_object,  returns type uvm_object_wrapper
get_type
function
function, defined in class uvm_objection,  returns type type_id
get_type
function
function, defined in class uvm_resource,  returns type this_type
get_type
function
function, defined in class uvm_test_done_objection,  returns type type_id
get_type
function
function, defined in class uvm_sequence_item,  returns type type_id
get_type
function
function, defined in class uvm_class_pair,  returns type type_id
get_type
function
function, defined in class uvm_built_in_pair,  returns type type_id
get_type
function
function, defined in class uvm_in_order_comparator,  returns type type_id
get_type
function
function, defined in class uvm_in_order_built_in_comparator,  returns type type_id
get_type
function
function, defined in class uvm_in_order_class_comparator,  returns type type_id
get_type
function
function, defined in class uvm_algorithmic_comparator,  returns type type_id
get_type
function
function, defined in class uvm_random_stimulus,  returns type type_id
get_type
function
function, defined in class uvm_sequencer,  returns type type_id
get_type
function
function, defined in class uvm_sequence_library_cfg,  returns type type_id
get_type
function
function, defined in class uvm_sequence_library,  returns type type_id
get_type
function
function, defined in class uvm_random_sequence,  returns type type_id
get_type
function
function, defined in class uvm_exhaustive_sequence,  returns type type_id
get_type
function
function, defined in class uvm_simple_sequence,  returns type type_id
get_type
function
function, defined in class uvm_tlm_generic_payload,  returns type type_id
get_type
function
function, defined in class uvm_reg_field,  returns type type_id
get_type
function
function, defined in class uvm_vreg_field,  returns type type_id
get_type
function
function, defined in class uvm_reg_item,  returns type type_id
get_type
function
function, defined in class uvm_reg_map,  returns type type_id
get_type
function
function, defined in class uvm_reg_sequence,  returns type type_id
get_type
function
function, defined in class uvm_reg_tlm_adapter,  returns type type_id
get_type
function
function, defined in class uvm_reg_predictor,  returns type type_id
get_type
function
function, defined in class uvm_reg_backdoor,  returns type type_id
get_type
function
function, defined in class uvm_reg_read_only_cbs,  returns type type_id
get_type
function
function, defined in class uvm_reg_write_only_cbs,  returns type type_id
get_type
function
function, defined in class uvm_reg_hw_reset_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_single_bit_bash_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_bit_bash_seq,  returns type type_id
get_type
function
function, defined in class uvm_mem_single_walk_seq,  returns type type_id
get_type
function
function, defined in class uvm_mem_walk_seq,  returns type type_id
get_type
function
function, defined in class uvm_mem_single_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_mem_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_single_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_mem_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_shared_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_mem_shared_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_mem_shared_access_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_mem_built_in_seq,  returns type type_id
get_type
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type type_id
get_type
function
function, defined in class svt_dispatch_sequence,  returns type type_id
get_type
function
function, defined in class svt_fsm_state_base,  returns type type_id
get_type
function
function, defined in class svt_err_catcher,  returns type type_id
get_type
function
function, defined in class svt_traffic_arbiter,  returns type type_id
get_type
function
function, defined in class svt_amba_pv_extension,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_link_service_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_flit_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_random_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_xact_type_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_null_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_transaction_dvm_sync_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_eobarrier_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_ecbarrier_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_go_noncoherent_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_read_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_exclusive_access_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_write_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence,  returns type type_id
get_type
function
function, defined in class chi_rn_barrier_directed_sequence,  returns type type_id
get_type
function
function, defined in class chi_rn_noncoherent_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class chi_rn_directed_noncoherent_xact_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_atomic_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_snoop_transaction_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_snoop_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_directed_snoop_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_snoop_transaction_random_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_snoop_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_transaction_memory_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_transaction_null_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_transaction_memory_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_suspend_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_random_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_reordering_response_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_random_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_coherency_exit_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_coherency_entry_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_protocol_service_random_coherency_exit_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_link_service_base_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_link_service_random_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_link_service_deactivate_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_link_service_activate_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,  returns type type_id
get_type
function
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,  returns type type_id
get_type
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_multi_node_random_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readshared_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readonce_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readnosnp_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readclean_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readspec_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_readunique_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_cleanunique_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_makeunique_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writebackfull_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writebackptl_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_evict_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_cleanshared_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_dvm_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_barrier_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_coherent_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class chi_rn_barrier_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_atomicswap_transaction_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,  returns type type_id
get_type
function
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_protocol,  returns type type_id
get_type
function
function, defined in class svt_chi_node_protocol_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,  returns type type_id
get_type
function
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_link,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_link_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_link,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_rn_link,  returns type type_id
get_type
function
function, defined in class svt_chi_rn_agent,  returns type type_id
get_type
function
function, defined in class svt_chi_tlm_generic_payload_sequencer,  returns type type_id
get_type
function
function, defined in class svt_chi_reg_adapter,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_rn_agent,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_protocol,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_link_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_link,  returns type type_id
get_type
function
function, defined in class svt_chi_sn_agent,  returns type type_id
get_type
function
function, defined in class svt_chi_ic_sn_agent,  returns type type_id
get_type
function
function, defined in class svt_chi_system_monitor,  returns type type_id
get_type
function
function, defined in class svt_chi_system_env,  returns type type_id
get_type
function
function, defined in class svt_chi_interconnect,  returns type type_id
get_type
function
function, defined in class svt_chi_interconnect_env,  returns type type_id
get_type_handle
function
function, defined in class uvm_resource_base,  returns type uvm_resource_base
get_type_handle
function
function, defined in class uvm_resource,  returns type uvm_resource_base
get_type_handle
function
function, defined in class uvm_tlm_extension_base,  returns type uvm_tlm_extension_base
get_type_handle
function
function, defined in class uvm_tlm_extension,  returns type uvm_tlm_extension_base
get_type_handle_name
function
function, defined in class uvm_tlm_extension_base,  returns type string
get_type_handle_name
function
function, defined in class uvm_tlm_extension,  returns type string
get_type_name
function
function, defined in class uvm_recorder,  returns type string
get_type_name
function
function, defined in class uvm_object,  returns type string
get_type_name
function
function, defined in class uvm_component,  returns type string
get_type_name
function
function, defined in class uvm_root,  returns type string
get_type_name
function
function, defined in class uvm_object_wrapper,  returns type string
get_type_name
function
function, defined in class uvm_objection,  returns type string
get_type_name
function
function, defined in class uvm_pool,  returns type string
get_type_name
function
function, defined in class uvm_object_string_pool,  returns type string
get_type_name
function
function, defined in class uvm_barrier,  returns type string
get_type_name
function
function, defined in class uvm_event,  returns type string
get_type_name
function
function, defined in class uvm_queue,  returns type string
get_type_name
function
function, defined in class uvm_component_registry,  returns type string
get_type_name
function
function, defined in class uvm_object_registry,  returns type string
get_type_name
function
function, defined in class uvm_callback,  returns type string
get_type_name
function
function, defined in class uvm_report_server,  returns type string
get_type_name
function
function, defined in class uvm_env,  returns type string
get_type_name
function
function, defined in class uvm_test_done_objection,  returns type string
get_type_name
function
function, defined in class uvm_build_phase,  returns type string
get_type_name
function
function, defined in class uvm_connect_phase,  returns type string
get_type_name
function
function, defined in class uvm_end_of_elaboration_phase,  returns type string
get_type_name
function
function, defined in class uvm_start_of_simulation_phase,  returns type string
get_type_name
function
function, defined in class uvm_run_phase,  returns type string
get_type_name
function
function, defined in class uvm_extract_phase,  returns type string
get_type_name
function
function, defined in class uvm_check_phase,  returns type string
get_type_name
function
function, defined in class uvm_report_phase,  returns type string
get_type_name
function
function, defined in class uvm_final_phase,  returns type string
get_type_name
function
function, defined in class uvm_pre_reset_phase,  returns type string
get_type_name
function
function, defined in class uvm_reset_phase,  returns type string
get_type_name
function
function, defined in class uvm_post_reset_phase,  returns type string
get_type_name
function
function, defined in class uvm_pre_configure_phase,  returns type string
get_type_name
function
function, defined in class uvm_configure_phase,  returns type string
get_type_name
function
function, defined in class uvm_post_configure_phase,  returns type string
get_type_name
function
function, defined in class uvm_pre_main_phase,  returns type string
get_type_name
function
function, defined in class uvm_main_phase,  returns type string
get_type_name
function
function, defined in class uvm_post_main_phase,  returns type string
get_type_name
function
function, defined in class uvm_pre_shutdown_phase,  returns type string
get_type_name
function
function, defined in class uvm_shutdown_phase,  returns type string
get_type_name
function
function, defined in class uvm_post_shutdown_phase,  returns type string
get_type_name
function
function, defined in class uvm_sequence_item,  returns type string
get_type_name
function
function, defined in class uvm_port_component,  returns type string
get_type_name
function
function, defined in class uvm_port_base,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_put_imp,  returns type string
get_type_name
function
function, defined in class uvm_put_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_imp,  returns type string
get_type_name
function
function, defined in class uvm_get_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_get_peek_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_master_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_master_imp,  returns type string
get_type_name
function
function, defined in class uvm_master_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_slave_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_slave_imp,  returns type string
get_type_name
function
function, defined in class uvm_slave_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_transport_imp,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_transport_imp,  returns type string
get_type_name
function
function, defined in class uvm_transport_imp,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_put_port,  returns type string
get_type_name
function
function, defined in class uvm_put_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_port,  returns type string
get_type_name
function
function, defined in class uvm_get_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_get_peek_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_master_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_master_port,  returns type string
get_type_name
function
function, defined in class uvm_master_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_slave_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_slave_port,  returns type string
get_type_name
function
function, defined in class uvm_slave_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_transport_port,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_transport_port,  returns type string
get_type_name
function
function, defined in class uvm_transport_port,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_put_export,  returns type string
get_type_name
function
function, defined in class uvm_put_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_export,  returns type string
get_type_name
function
function, defined in class uvm_get_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_get_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_get_peek_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_master_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_master_export,  returns type string
get_type_name
function
function, defined in class uvm_master_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_slave_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_slave_export,  returns type string
get_type_name
function
function, defined in class uvm_slave_export,  returns type string
get_type_name
function
function, defined in class uvm_blocking_transport_export,  returns type string
get_type_name
function
function, defined in class uvm_nonblocking_transport_export,  returns type string
get_type_name
function
function, defined in class uvm_transport_export,  returns type string
get_type_name
function
function, defined in class uvm_analysis_port,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp,  returns type string
get_type_name
function
function, defined in class uvm_analysis_export,  returns type string
get_type_name
function
function, defined in class uvm_tlm_fifo,  returns type string
get_type_name
function
function, defined in class uvm_tlm_analysis_fifo,  returns type string
get_type_name
function
function, defined in class uvm_tlm_req_rsp_channel,  returns type string
get_type_name
function
function, defined in class uvm_seq_item_pull_port,  returns type string
get_type_name
function
function, defined in class uvm_seq_item_pull_export,  returns type string
get_type_name
function
function, defined in class uvm_seq_item_pull_imp,  returns type string
get_type_name
function
function, defined in class uvm_class_pair,  returns type string
get_type_name
function
function, defined in class uvm_built_in_pair,  returns type string
get_type_name
function
function, defined in class uvm_in_order_comparator,  returns type string
get_type_name
function
function, defined in class uvm_in_order_built_in_comparator,  returns type string
get_type_name
function
function, defined in class uvm_in_order_class_comparator,  returns type string
get_type_name
function
function, defined in class uvm_algorithmic_comparator,  returns type string
get_type_name
function
function, defined in class uvm_random_stimulus,  returns type string
get_type_name
function
function, defined in class uvm_monitor,  returns type string
get_type_name
function
function, defined in class uvm_driver,  returns type string
get_type_name
function
function, defined in class uvm_push_driver,  returns type string
get_type_name
function
function, defined in class uvm_scoreboard,  returns type string
get_type_name
function
function, defined in class uvm_agent,  returns type string
get_type_name
function
function, defined in class uvm_test,  returns type string
get_type_name
function
function, defined in class uvm_sequencer,  returns type string
get_type_name
function
function, defined in class uvm_sequence_library_cfg,  returns type string
get_type_name
function
function, defined in class uvm_sequence_library,  returns type string
get_type_name
function
function, defined in class uvm_random_sequence,  returns type string
get_type_name
function
function, defined in class uvm_exhaustive_sequence,  returns type string
get_type_name
function
function, defined in class uvm_simple_sequence,  returns type string
get_type_name
function
function, defined in class uvm_tlm_generic_payload,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_transport_imp,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_fw_imp,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_bw_imp,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_transport_port,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_fw_port,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_bw_port,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_transport_export,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_fw_export,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_transport_bw_export,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_target_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_initiator_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_target_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_initiator_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_passthrough_initiator_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_nb_passthrough_target_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_passthrough_initiator_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_tlm_b_passthrough_target_socket_base,  returns type string
get_type_name
function
function, defined in class uvm_reg_field,  returns type string
get_type_name
function
function, defined in class uvm_vreg_field,  returns type string
get_type_name
function
function, defined in class uvm_reg_item,  returns type string
get_type_name
function
function, defined in class uvm_reg_map,  returns type string
get_type_name
function
function, defined in class uvm_reg_tlm_adapter,  returns type string
get_type_name
function
function, defined in class uvm_reg_predictor,  returns type string
get_type_name
function
function, defined in class uvm_reg_backdoor,  returns type string
get_type_name
function
function, defined in class uvm_reg_read_only_cbs,  returns type string
get_type_name
function
function, defined in class uvm_reg_write_only_cbs,  returns type string
get_type_name
function
function, defined in class uvm_reg_hw_reset_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_single_bit_bash_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_bit_bash_seq,  returns type string
get_type_name
function
function, defined in class uvm_mem_single_walk_seq,  returns type string
get_type_name
function
function, defined in class uvm_mem_walk_seq,  returns type string
get_type_name
function
function, defined in class uvm_mem_single_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_mem_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_single_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_mem_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_shared_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_mem_shared_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_mem_shared_access_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_mem_built_in_seq,  returns type string
get_type_name
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type string
get_type_name
function
function, defined in class svt_sequence_item_base_iter,  returns type string
get_type_name
function
function, defined in class svt_callback,  returns type string
get_type_name
function
function, defined in class svt_fsm_state_base,  returns type string
get_type_name
function
function, defined in class svt_err_catcher,  returns type string
get_type_name
function
function, defined in class svt_traffic_arbiter,  returns type string
get_type_name
function
function, defined in class svt_amba_pv_extension,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_link_service_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_flit_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_random_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_xact_type_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_null_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_transaction_dvm_sync_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_eobarrier_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_ecbarrier_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_go_noncoherent_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_read_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_exclusive_access_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_write_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence,  returns type string
get_type_name
function
function, defined in class chi_rn_barrier_directed_sequence,  returns type string
get_type_name
function
function, defined in class chi_rn_noncoherent_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class chi_rn_directed_noncoherent_xact_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_atomic_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_snoop_transaction_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_snoop_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_directed_snoop_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_snoop_transaction_random_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_snoop_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_transaction_memory_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_transaction_null_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_transaction_memory_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_suspend_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_random_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_reordering_response_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_random_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_coherency_exit_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_coherency_entry_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_protocol_service_random_coherency_exit_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_link_service_base_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_link_service_random_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_link_service_deactivate_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_link_service_activate_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,  returns type string
get_type_name
function
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,  returns type string
get_type_name
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_multi_node_random_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readshared_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readonce_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readnosnp_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readclean_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readspec_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_readunique_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_cleanunique_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_makeunique_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writebackfull_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writebackptl_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_evict_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_cleanshared_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_dvm_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_barrier_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_coherent_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class chi_rn_barrier_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_atomicswap_transaction_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,  returns type string
get_type_name
function
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_protocol,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rx_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rx_rsp_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rx_snp_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_get_imp_snp_xact,  returns type string
get_type_name
function
function, defined in class svt_chi_node_protocol_monitor,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_tx_req_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_tx_rsp_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_tx_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_rx_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_rx_rsp_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_rx_snp_flit,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,  returns type string
get_type_name
function
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_link,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_link_monitor,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_link,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_rn_link,  returns type string
get_type_name
function
function, defined in class svt_chi_rn_agent,  returns type string
get_type_name
function
function, defined in class svt_chi_tlm_generic_payload_sequencer,  returns type string
get_type_name
function
function, defined in class svt_chi_reg_adapter,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_rn_agent,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_protocol,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_sn_rx_req_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_sn_rx_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_sn_rx_req_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_sn_rx_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_sn_tx_rsp_flit,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_sn_tx_dat_flit,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_link_monitor,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_link,  returns type string
get_type_name
function
function, defined in class svt_chi_sn_agent,  returns type string
get_type_name
function
function, defined in class svt_chi_ic_sn_agent,  returns type string
get_type_name
function
function, defined in class svt_chi_system_monitor,  returns type string
get_type_name
function
function, defined in class svt_chi_system_env,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_rn_coh_xact,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_rn_snp_xact,  returns type string
get_type_name
function
function, defined in class uvm_analysis_imp_sn_xact,  returns type string
get_type_name
function
function, defined in class svt_chi_interconnect,  returns type string
get_type_name
function
function, defined in class svt_chi_interconnect_env,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rn_req_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rn_rsp_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_rn_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_sn_dat_flit,  returns type string
get_type_name
function
function, defined in class uvm_blocking_put_imp_sn_rsp_flit,  returns type string
get_uid
function
function, defined in class svt_sequence_item_base,  returns type string
get_uid
function
function, defined in class svt_fsm,  returns type string
get_uid
function
function, defined in class svt_fsm_state_base,  returns type string
get_uniq_num_from_lpid_addr
function
function, defined in class svt_chi_exclusive_monitor,  returns type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH+SVT_CHI_MAX_LPID_WIDTH-1):0]
get_unique_id
function
function, defined in class svt_err_check_stats,  returns type string
get_unit_for_latency_metrics
function
function, defined in class svt_chi_node_perf_status,  returns type string
get_unit_for_throughput_metrics
function
function, defined in class svt_chi_node_perf_status,  returns type string
get_use_response_handler
function
function, defined in class uvm_sequence_base,  returns type bit
get_use_sequence_info
function
function, defined in class uvm_sequence_item,  returns type bit
get_user
function
function, defined in class svt_amba_pv_extension,  returns type unsigned int
get_user_fsdb_props
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
get_uvm_args
function
function, defined in class uvm_cmdline_processor,  returns type void
get_uvm_domain
function
function, defined in class uvm_domain,  returns type uvm_domain
get_uvm_schedule
function
function, defined in class uvm_domain,  returns type uvm_phase
get_valid_byte_count
function
function, defined in class svt_chi_transaction,  returns type int
get_valid_wysiwyg_byte_enable
function
function, defined in class svt_chi_transaction,  returns type bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0]
get_valid_wysiwyg_data
function
function, defined in class svt_chi_transaction,  returns type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0]
get_vcap_dpi_wrapper
function
function, defined in class svt_traffic_arbiter,  returns type svt_vcap
get_verbosity
function
function, defined in class uvm_report_catcher,  returns type int
get_verbosity
function
function, defined in class svt_debug_opts,  returns type int
get_verbosity_level
function
function, defined in class uvm_report_handler,  returns type int
get_vfield_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_vreg_field
get_vfield_by_name
function
function, defined in class uvm_mem,  returns type uvm_vreg_field
get_virt_if
function
function, defined in class svt_chi_link_service_base_sequence,  returns type void
get_virt_seqr
function
function, defined in class svt_chi_link_service_base_sequence,  returns type void
get_virtual_fields
function
function, defined in class uvm_reg_block,  returns type void
get_virtual_fields
function
function, defined in class uvm_mem,  returns type void
get_virtual_fields
function
function, defined in class uvm_reg_map,  returns type void
get_virtual_registers
function
function, defined in class uvm_reg_block,  returns type void
get_virtual_registers
function
function, defined in class uvm_mem,  returns type void
get_virtual_registers
function
function, defined in class uvm_reg_map,  returns type void
get_virtual_registers
function
function, defined in class uvm_mem_region,  returns type uvm_vreg
get_vreg_by_name
function
function, defined in class uvm_reg_block,  returns type uvm_vreg
get_vreg_by_name
function
function, defined in class uvm_mem,  returns type uvm_vreg
get_vreg_by_offset
function
function, defined in class uvm_mem,  returns type uvm_vreg
get_word_content_str
function
function, defined in class svt_mem_word,  returns type string
get_word_value_str
function
function, defined in class svt_mem_word,  returns type string
get_write_data_and_byte_enable_pattern_type
function
function, defined in class svt_chi_transaction,  returns type void
get_write_fifo_rate_control_configs
function
function, defined in class svt_traffic_arbiter,  returns type bit
get_writer
function
function, defined in class svt_debug_opts,  returns type svt_vip_writer
get_xact_category
function
function, defined in class svt_chi_transaction,  returns type xact_category_enum
get_xact_from_active_queue
function
function, defined in class svt_chi_rn_go_noncoherent_sequence,  returns type svt_chi_rn_transaction
get_xact_status
function
function, defined in class svt_sequence_item,  returns type bit
get_xacts_to_same_cacheline_started_before_curr_xact
function
function, defined in class svt_chi_transaction,  returns type void
get_xml_name
function
function, defined in class svt_fsm,  returns type string
get_xml_name
function
function, defined in class svt_fsm_state_base,  returns type string
get_xml_name
function
function, defined in class svt_fsm_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_txla_fsm,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_rxla_fsm,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_sysco_interface_fsm,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_txla_stop_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_txla_activate_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_txla_run_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_txla_deactivate_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_rxla_stop_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_rxla_activate_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_rxla_run_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_rxla_deactivate_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_sysco_coherency_disabled_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_sysco_coherency_connect_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_sysco_coherency_enabled_state,  returns type string
get_xml_name
function
function, defined in class svt_chi_link_sysco_coherency_disconnect_state,  returns type string
get_xml_object_block_desc
function
function, defined in class svt_sequence_item_base,  returns type string
getenv
function
function, defined in global,  returns type string
glob
function arg
arg type string, defined in function glboal :: uvm_glob_to_re
global_stop_request
function
function, defined in global,  returns type void
goto
function
function, defined in class svt_fsm_callback,  returns type void
goto_cb_exec
function
function, defined in class svt_fsm,  returns type void
grab
task
defined in class uvm_sequencer_base
grab
task
defined in class uvm_sequence_base
grant_queued_locks
function
function, defined in class uvm_sequencer_base,  returns type void
group
function arg
arg type string, defined in function svt_err_check_stats :: new
group_name
function arg
arg type output string, defined in function svt_traffic_arbiter :: get_group
group_name
task arg
arg type string, defined in task svt_traffic_arbiter :: add_synchronization_data
group_name
task arg
arg type string, defined in task svt_traffic_arbiter :: get_resource_profiles_of_sequencer
group_name
task arg
arg type string, defined in task svt_traffic_arbiter :: get_traffic_profiles_of_sequencer
group_name
task arg
arg type string, defined in task svt_traffic_arbiter :: get_group_synchronisation_spec
group_seq_number
function arg
arg type int, defined in function svt_traffic_arbiter :: get_write_fifo_rate_control_configs
group_seq_number
function arg
arg type int, defined in function svt_traffic_arbiter :: get_read_fifo_rate_control_configs
group_seq_number
task arg
arg type int, defined in task svt_traffic_arbiter :: add_synchronization_data
group_seq_number
task arg
arg type int, defined in task svt_traffic_arbiter :: get_resource_profiles_of_sequencer
group_seq_number
task arg
arg type int, defined in task svt_traffic_arbiter :: get_traffic_profiles_of_sequencer
group_seq_number
task arg
arg type int, defined in task svt_traffic_arbiter :: get_group_synchronisation_spec