VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-C SVT UVM Documentation - index - e

e
function arg
arg type uvm_event, defined in function uvm_event_callback :: pre_trigger
e
function arg
arg type uvm_event, defined in function uvm_event_callback :: post_trigger
e
function arg
arg type uvm_event, defined in function uvm_heartbeat :: set_heartbeat
e
function arg
arg type uvm_event, defined in function uvm_heartbeat :: start
ECBARRIER
enum value
member of svt_chi_common_transaction :: xact_type_enum
ecbarrier_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
ecbarrier_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ele
function arg
arg type int, defined in function uvm_scope_stack :: set_arg_element
element
function arg
arg type int, defined in function uvm_scope_stack :: down_element
element
attribute
attribute type int, defined in class uvm_status_container
element
attribute
attribute type uvm_object, defined in class uvm_reg_item
element
function arg
arg type uvm_object, defined in function uvm_reg_backdoor :: start_update_thread
element
function arg
arg type uvm_object, defined in function uvm_reg_backdoor :: kill_update_thread
element_kind
attribute
attribute type uvm_elem_kind_e, defined in class uvm_reg_item
emit
function
function, defined in class uvm_printer,  returns type string
emit
function
function, defined in class uvm_table_printer,  returns type string
emit
function
function, defined in class uvm_tree_printer,  returns type string
enable
function arg
arg type bit, defined in function uvm_sequence_base :: use_response_handler
enable_all_weights
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type void
enable_autosize_of_saveddata
function arg
arg type bit, defined in function svt_mem :: save_mem
enable_check
function
function, defined in class svt_err_check,  returns type void
enable_checks
function
function, defined in class svt_err_check,  returns type int
enable_checks_cov
function
function, defined in class svt_err_check,  returns type int
enable_combine
attribute
attribute type bit, defined in class svt_exception_list
enable_cov_group
function arg
arg type string, defined in function svt_err_check :: enable_checks_cov
enable_cov_options
function
function, defined in class svt_configuration,  returns type void
enable_cov_sub_group
function arg
arg type string, defined in function svt_err_check :: enable_checks_cov
enable_debug
attribute
attribute type bit, defined in class svt_debug_vip_descriptor
enable_debug_options
function
function, defined in class svt_configuration,  returns type void
enable_debug_opts
function
function, defined in class svt_vip_writer,  returns type void
enable_debug_opts
function arg
arg type bit, defined in function svt_configuration :: enable_pa_options
enable_domain_based_addr_gen
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_eob_ecb_back_to_back
attribute
attribute type bit, defined in class chi_rn_barrier_directed_virtual_sequence
enable_exception_randomize
attribute
attribute type protected bit, defined in class svt_exception_list
enable_fail_cov
function arg
arg type bit, defined in function svt_err_check_stats :: add_cov
enable_fail_cov
function arg
arg type bit, defined in function svt_err_check :: enable_checks_cov
enable_fail_cov
function arg
arg type bit, defined in function svt_err_check :: set_checks_cov_fail
enable_file_report
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: new
enable_file_report
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: new
enable_file_report
function arg
arg type bit, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: new
enable_file_report
function arg
arg type bit, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: new
enable_fsm_xml_gen
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_group
function arg
arg type string, defined in function svt_err_check :: enable_checks
enable_group
function arg
arg type string, defined in function svt_err_check :: restore_enable_last_disabled_checks
enable_interleave
attribute
attribute type bit, defined in class svt_chi_transaction
enable_ll_reporting
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_ll_tracing
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_local_summaries
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: new
enable_local_summaries
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: new
enable_local_summaries
function arg
arg type bit, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: new
enable_local_summaries
function arg
arg type bit, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: new
enable_log_report
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: new
enable_log_report
function arg
arg type bit, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: new
enable_log_report
function arg
arg type bit, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: new
enable_log_report
function arg
arg type bit, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: new
enable_monitoring
function arg
arg type bit, defined in function svt_chi_node_pmu :: set_performance_monitoring
enable_multiple_tr_dispatch
function
function, defined in class svt_dispatch_sequence,  returns type void
enable_non_blocking
attribute
attribute type bit, defined in class svt_chi_rn_transaction_random_sequence
enable_non_blocking
attribute
attribute type bit, defined in class svt_chi_ic_snoop_transaction_random_sequence
enable_non_blocking
attribute
attribute type bit, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence
enable_non_blocking
attribute
attribute type bit, defined in class svt_chi_ic_snoop_transaction_directed_sequence
enable_non_blocking
attribute
attribute type bit, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence
enable_outstanding
attribute
attribute type bit, defined in class chi_rn_barrier_directed_sequence
enable_outstanding
attribute
attribute type bit, defined in class chi_rn_directed_noncoherent_xact_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence
enable_outstanding
attribute
attribute type bit, defined in class chi_rn_barrier_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence
enable_outstanding
attribute
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence
enable_outstanding_status
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence
enable_pa_options
function
function, defined in class svt_configuration,  returns type void
enable_pass_cov
function arg
arg type bit, defined in function svt_err_check_stats :: add_cov
enable_pass_cov
function arg
arg type bit, defined in function svt_err_check :: enable_checks_cov
enable_pass_cov
function arg
arg type bit, defined in function svt_err_check :: set_checks_cov_pass
enable_pl_reporting
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_pl_tracing
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_print_topology
attribute
attribute type bit, defined in class uvm_root
enable_recording
function
function, defined in class uvm_transaction,  returns type void
enable_report_id_count_summary
attribute
attribute type bit, defined in class uvm_report_server
enable_runtime_trace_recording
attribute
attribute type bit, defined in class svt_configuration
enable_secure_nonsecure_address_space
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_signal_callbacks
attribute
attribute type bit, defined in class svt_configuration
enable_sled
attribute
attribute type bit, defined in class svt_configuration
enable_stop_interrupt
attribute
attribute type int, defined in class uvm_component
enable_sub_group
function arg
arg type string, defined in function svt_err_check :: enable_checks
enable_sub_group
function arg
arg type string, defined in function svt_err_check :: restore_enable_last_disabled_checks
enable_summary_reporting
attribute
attribute type bit, defined in class svt_chi_system_configuration
enable_summary_tracing
attribute
attribute type bit, defined in class svt_chi_system_configuration
enable_trace
function
function, defined in class svt_sequence_item,  returns type bit
enable_unique_id
function arg
arg type string, defined in function svt_err_check :: enable_checks
enable_unique_id
function arg
arg type string, defined in function svt_err_check :: restore_enable_last_disabled_checks
enable_xact_xml_gen
attribute
attribute type bit, defined in class svt_chi_node_configuration
enable_xml_gen
attribute
attribute type bit, defined in class svt_chi_system_configuration
encode
function
function, defined in class uvm_reg_cbs,  returns type void
encode_8b10b_data
function
function, defined in class svt_data_converter,  returns type bit
encode_8b10b_data
function
function, defined in class svt_sequence_item,  returns type bit
encode_data
function
function, defined in class svt_8b10b_data,  returns type bit
encode_kcode
function
function, defined in class svt_8b10b_data,  returns type bit
encode_prop_val
function
function, defined in class svt_sequence_item_base,  returns type bit
encode_prop_val
function
function, defined in class svt_err_check_stats,  returns type bit
encode_prop_val
function
function, defined in class svt_sequence_item,  returns type bit
encode_prop_val
function
function, defined in class svt_8b10b_data,  returns type bit
encode_prop_val
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
encode_prop_val
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
encode_prop_val
function
function, defined in class svt_amba_addr_mapper,  returns type bit
encode_prop_val
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
encode_prop_val
function
function, defined in class svt_axi_cache,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_address_configuration,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_protocol_service,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_link_service,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_common_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_base_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_flit_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_rn_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_sn_transaction_exception,  returns type bit
encode_prop_val
function
function, defined in class svt_chi_system_monitor_system_data,  returns type bit
end_addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: save_mem
end_addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: load_mem
end_addr
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_domain_item
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_hn_addr_range
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_mn_addr_range
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_addr_for_domain
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_hn_addr_range
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_mn_addr_range
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_addr_range
end_addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_addr_for_domain
end_addr
attribute
attribute type rand addr_t, defined in class svt_chi_rn_coherent_transaction_base_sequence
end_addr
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
end_addr_status
attribute
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
end_debug_verbosity
function
function, defined in class svt_debug_opts,  returns type void
end_elements
attribute
attribute type int, defined in class uvm_printer_knobs
end_event
attribute
attribute type uvm_event, defined in class uvm_transaction
end_is_off
function
function, defined in class svt_sequence_item,  returns type bit
end_is_on
function
function, defined in class svt_sequence_item,  returns type bit
end_ix
attribute
attribute type protected int, defined in class svt_sequence_item_iter
end_ix
function arg
arg type int, defined in function svt_sequence_item_iter :: new
end_ix
function arg
arg type int, defined in function svt_sequence_item_iter :: initialize
end_of_elaboration
function
function, defined in class uvm_component,  returns type void
end_of_elaboration_ph
attribute
attribute type uvm_phase, defined in global
end_of_elaboration_phase
function
function, defined in class uvm_component,  returns type void
end_of_elaboration_phase
function
function, defined in class uvm_push_driver,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_rn_protocol,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_rn_link,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_sn_link,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_ic_rn_link,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_sn_protocol,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_ic_sn_link,  returns type void
end_of_elaboration_phase
function
function, defined in class svt_chi_system_env,  returns type void
END_OF_PROFILE
enum value
member of svt_traffic_profile_transaction :: output_event_type_enum
END_OF_PROFILE
enum value
member of svt_traffic_profile_event :: output_event_type_enum
end_of_simulation
function
function, defined in class svt_chi_system_monitor_callback,  returns type void
end_of_simulation_checks_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
end_of_simulation_outstanding_protocol_credit_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
end_offset
attribute
attribute type rand bit [63:0] , defined in class uvm_mem_mam_cfg
end_offset
function arg
arg type bit [63:0] , defined in function uvm_mem_region :: new
END_REQ
enum value
member of global items uvm_tlm_phase_e
END_RESP
enum value
member of global items uvm_tlm_phase_e
end_state
function arg
arg type uvm_phase, defined in function uvm_phase :: clear_successors
end_time
function arg
arg type time, defined in function uvm_recorder :: end_tr
end_time
function arg
arg type time, defined in function uvm_component :: end_tr
end_time
function arg
arg type time, defined in function uvm_transaction :: end_tr
end_time
attribute
attribute type realtime, defined in class svt_pa_object_data
end_time
function arg
arg type realtime, defined in function svt_vip_writer :: object_create
end_time
function arg
arg type ref time, defined in function svt_sequence_item :: get_clean_times
end_time
function arg
arg type ref realtime, defined in function svt_sequence_item :: get_clean_realtimes
end_time_for_throughput
attribute
attribute type real, defined in class svt_amba_perf_calc_base
end_tr
function
function, defined in class uvm_recorder,  returns type void
end_tr
function
function, defined in class uvm_component,  returns type void
end_tr
function
function, defined in class uvm_transaction,  returns type void
ENDED
enum value
member of svt_sequence_item :: notifications_e
ENDED
enum value
member of global items uvm_sequence_state
endian
function arg
arg type uvm_endianness_e, defined in function uvm_reg_block :: create_map
endian
function arg
arg type uvm_endianness_e, defined in function uvm_reg_map :: configure
endian
attribute
attribute type rand svt_chi_common_transaction :: endian_enum, defined in class svt_chi_base_transaction
endian_enum
enum typedef
defined in class svt_chi_common_transaction
enough_bits
function
function, defined in class uvm_packer,  returns type bit
enter_time
function arg
arg type real, defined in function svt_fsm_state_base :: set_enter_time
entered_activate
attribute
attribute type event, defined in class svt_chi_link_txla_fsm
entered_activate
attribute
attribute type event, defined in class svt_chi_link_rxla_fsm
entered_deactivate
attribute
attribute type event, defined in class svt_chi_link_txla_fsm
entered_deactivate
attribute
attribute type event, defined in class svt_chi_link_rxla_fsm
entered_run
attribute
attribute type event, defined in class svt_chi_link_txla_fsm
entered_run
attribute
attribute type event, defined in class svt_chi_link_rxla_fsm
entered_stop
attribute
attribute type event, defined in class svt_chi_link_txla_fsm
entered_stop
attribute
attribute type event, defined in class svt_chi_link_rxla_fsm
entering
function
function, defined in class svt_fsm_state_callback,  returns type void
enum_type
function arg
arg type string, defined in function svt_sequence_item_base :: add_pattern_prop
enum_type
function arg
arg type string, defined in function svt_sequence_item_base :: add_compound_pattern_prop
env_var_name
function arg
arg type string, defined in function svt_sequence_item_base :: get_environment_variable_value
envname
function arg
arg type input string, defined in function glboal :: getenv
EOBARRIER
enum value
member of svt_chi_common_transaction :: xact_type_enum
eobarrier_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
eobarrier_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ep_range_indx
attribute
attribute type int, defined in class svt_chi_system_transaction
err
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_snoop_error
err_check
attribute
attribute type svt_err_check, defined in class svt_component
err_check
attribute
attribute type svt_err_check, defined in class svt_driver
err_check
attribute
attribute type svt_err_check, defined in class svt_monitor
err_check
attribute
attribute type svt_err_check, defined in class svt_agent
err_check
attribute
attribute type svt_err_check, defined in class svt_env
err_check_name
attribute
attribute type protected string, defined in class svt_err_check_stats
err_check_name
function arg
arg type string, defined in function svt_err_check_stats :: set_err_check_name
err_check_name
attribute
attribute type protected string, defined in class svt_err_check
err_check_name
function arg
arg type string, defined in function svt_err_check :: new
err_check_name
function arg
arg type string, defined in function svt_err_check :: get_err_check
err_check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: unregister_err_check_stats
err_check_stats_cov_inst
attribute
attribute type svt_err_check_stats_cov, defined in class svt_err_check_stats
error
function arg
arg type bit, defined in function uvm_reg_map :: get_reg_map_info
error
function arg
arg type bit, defined in function uvm_reg_map :: get_mem_map_info
ERROR
enum value
member of svt_types :: severity_enum
ERROR
enum value
member of svt_err_check_stats :: fail_effect_enum
error_driven
function
function, defined in class svt_exception,  returns type void
error_for_unmapped_id
function arg
arg type bit, defined in function svt_chi_system_configuration :: get_rn_index
error_for_unmapped_id
function arg
arg type bit, defined in function svt_chi_system_configuration :: get_sn_index
error_for_unmapped_node_id
function arg
arg type bit, defined in function svt_chi_system_configuration :: get_hn_idx_for_hn_node_id
error_for_unmapped_node_id
function arg
arg type bit, defined in function svt_chi_address_configuration :: get_hn_idx_for_hn_node_id
error_time
function arg
arg type time, defined in function uvm_component :: record_error_tr
ev_name
function arg
arg type string, defined in function svt_event_pool :: trigger_event
ev_name
function arg
arg type string, defined in function svt_event_pool :: get_event_is_on
ev_name
function arg
arg type string, defined in function svt_event_pool :: get_event_is_off
ev_name
function arg
arg type string, defined in function svt_event_pool :: get_event_trigger_data
ev_name
function arg
arg type string, defined in function svt_event_pool :: reset_event
ev_name
task arg
arg type string, defined in task svt_event_pool :: wait_event_trigger
ev_name
task arg
arg type string, defined in task svt_event_pool :: wait_event_on
ev_name
task arg
arg type string, defined in task svt_event_pool :: wait_event_off
ev_pool
function arg
arg type uvm_object_string_pool, defined in function svt_event_pool :: trigger_event
ev_pool
function arg
arg type uvm_object_string_pool, defined in function svt_event_pool :: get_event_is_on
ev_pool
function arg
arg type uvm_object_string_pool, defined in function svt_event_pool :: get_event_is_off
ev_pool
function arg
arg type uvm_object_string_pool, defined in function svt_event_pool :: get_event_trigger_data
ev_pool
function arg
arg type uvm_object_string_pool, defined in function svt_event_pool :: reset_event
ev_pool
task arg
arg type uvm_object_string_pool, defined in task svt_event_pool :: wait_event_trigger
ev_pool
task arg
arg type uvm_object_string_pool, defined in task svt_event_pool :: wait_event_on
ev_pool
task arg
arg type uvm_object_string_pool, defined in task svt_event_pool :: wait_event_off
ev_str
function arg
arg type string, defined in function svt_traffic_arbiter :: get_input_xacts_for_output_event
ev_str
task arg
arg type string, defined in task svt_traffic_arbiter :: track_output_event
EVENT_CHI_SNOOP_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_interconnect
EVENT_CHI_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_interconnect
event_direction
attribute
attribute type svt_traffic_profile_event :: event_direction_enum, defined in class svt_traffic_profile_event
event_direction_enum
enum typedef
defined in class svt_traffic_profile_event
event_name
function arg
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_input_event
event_name
function arg
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_output_event
event_pool
attribute
attribute type protected uvm_object_string_pool, defined in class uvm_component
event_pool
attribute
attribute type protected svt_event_pool, defined in class svt_sequence_item_base_queue_iter
event_pool
function arg
arg type svt_event_pool, defined in function svt_sequence_item_base_queue_iter :: new
event_pool
function arg
arg type svt_event_pool, defined in function svt_sequence_item_base_queue_iter :: initialize
event_pool
function arg
arg type svt_event_pool, defined in function svt_sequence_item_base_queue_iter :: initialize_notify
event_pool
attribute
attribute type svt_event_pool, defined in class svt_uvm_cmd_assistant
event_pool
function arg
arg type svt_event_pool, defined in function svt_uvm_cmd_assistant :: new
event_pool
attribute
attribute type svt_event_pool, defined in class svt_component
event_pool
attribute
attribute type svt_event_pool, defined in class svt_driver
event_pool
attribute
attribute type svt_event_pool, defined in class svt_monitor
event_pool
attribute
attribute type svt_event_pool, defined in class svt_agent
event_pool
attribute
attribute type svt_event_pool, defined in class svt_env
EVENT_Q_CHANGED
attribute
attribute type uvm_event, defined in class svt_sequence_item_base_queue_iter
event_time
function arg
arg type time, defined in function uvm_component :: record_event_tr
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_rn_protocol
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_node_protocol_monitor
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_rn_protocol_monitor
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_rn_link
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_rn_link_monitor
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_sn_link
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_ic_rn_link
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_sn_protocol
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_sn_protocol_monitor
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_sn_link_monitor
EVENT_TRANSACTION_ENDED
attribute
attribute type uvm_event, defined in class svt_chi_ic_sn_link
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_rn_protocol
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_node_protocol_monitor
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_rn_protocol_monitor
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_rn_link
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_rn_link_monitor
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_sn_link
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_ic_rn_link
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_sn_protocol
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_sn_protocol_monitor
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_sn_link_monitor
EVENT_TRANSACTION_STARTED
attribute
attribute type uvm_event, defined in class svt_chi_ic_sn_link
events
attribute
attribute type const uvm_object_string_pool, defined in class uvm_transaction
events_described
function arg
arg type bit, defined in function svt_event_pool :: log_to_logger
EVICT
enum value
member of svt_chi_common_transaction :: xact_type_enum
evict_associated_comp_response_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
evict_associated_comp_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
evict_types
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_multi_node_random_virtual_sequence
evict_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
evict_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ex_rd_idx
function arg
arg type output int, defined in function svt_chi_exclusive_monitor :: get_exclusive_load_index
except
function arg
arg type svt_fsm_exception, defined in function svt_fsm :: exception
except
function arg
arg type svt_fsm_exception, defined in function svt_fsm_callback :: exception
exception
function arg
arg type T, defined in function svt_exception_list :: add_exception
exception
function
function, defined in class svt_fsm,  returns type void
exception
function
function, defined in class svt_fsm_callback,  returns type void
EXCEPTION_LIST_EMPTY_wt
attribute
attribute type int, defined in class svt_exception_list
EXCEPTION_LIST_LONG_wt
attribute
attribute type int, defined in class svt_exception_list
exception_list_opts
attribute
attribute type static svt_sequence_item_base :: recursive_op_enum, defined in class svt_sequence_item
EXCEPTION_LIST_SHORT_wt
attribute
attribute type int, defined in class svt_exception_list
EXCEPTION_LIST_SINGLE_wt
attribute
attribute type int, defined in class svt_exception_list
exceptions
attribute
attribute type rand T, defined in class svt_exception_list
excl
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_exclusive
excl_access_cnt
attribute
attribute type int, defined in class svt_chi_exclusive_monitor
excl_access_count
attribute
attribute type int, defined in class svt_chi_protocol_status
EXCL_ACCESS_FAIL
enum value
member of svt_chi_common_transaction :: excl_access_status_enum
EXCL_ACCESS_INITIAL
enum value
member of svt_chi_common_transaction :: excl_access_status_enum
EXCL_ACCESS_PASS
enum value
member of svt_chi_common_transaction :: excl_access_status_enum
excl_access_status
attribute
attribute type svt_chi_common_transaction :: excl_access_status_enum, defined in class svt_chi_transaction
excl_access_status_enum
enum typedef
defined in class svt_chi_common_transaction
excl_fail
attribute
attribute type protected bit, defined in class svt_chi_exclusive_monitor
excl_load_error
attribute
attribute type protected bit, defined in class svt_chi_exclusive_monitor
excl_load_error
task arg
arg type input bit, defined in task svt_chi_exclusive_monitor :: process_exclusive_load_response
excl_load_sys_xact
attribute
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
excl_load_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
EXCL_MON_FAILURE_COND_DEFAULT_VALUE_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_INVALID
enum value
member of svt_chi_common_transaction :: excl_mon_status_enum
EXCL_MON_INVALID_MAX_EXCL_ACCESS_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_RESET
enum value
member of svt_chi_common_transaction :: excl_mon_status_enum
EXCL_MON_RESET_ACCESS_FAIL_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_RESET_SNOOP_INVALIDATION_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_RESET_STORE_WITHOUT_LOAD_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_SET
enum value
member of svt_chi_common_transaction :: excl_mon_status_enum
EXCL_MON_SET_ACCESS_FAIL_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
EXCL_MON_SET_ACCESS_PASS_XACT_DROPPED
enum value
member of svt_chi_common_transaction :: excl_xact_drop_cond_enum
excl_mon_status
attribute
attribute type svt_chi_common_transaction :: excl_mon_status_enum, defined in class svt_chi_transaction
excl_mon_status_enum
enum typedef
defined in class svt_chi_common_transaction
excl_resp_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_exclusive_monitor :: perform_exclusive_load_resp_checks
excl_resp_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_exclusive_monitor :: perform_exclusive_store_resp_checks
excl_resp_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_exclusive_monitor :: perform_exclusive_makereadunique_store_final_state_checks
excl_resp_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_exclusive_monitor :: process_exclusive_store_response
excl_resp_xact
task arg
arg type svt_chi_transaction, defined in task svt_chi_exclusive_monitor :: process_exclusive_load_response
excl_store_cache_update
attribute
attribute type bit, defined in class svt_chi_transaction
excl_store_error
attribute
attribute type protected bit, defined in class svt_chi_exclusive_monitor
excl_store_error
function arg
arg type input bit, defined in function svt_chi_exclusive_monitor :: process_exclusive_store_response
excl_store_sys_xact
attribute
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
excl_store_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
excl_xact_drop_cond
attribute
attribute type svt_chi_common_transaction :: excl_xact_drop_cond_enum, defined in class svt_chi_transaction
excl_xact_drop_cond_enum
enum typedef
defined in class svt_chi_common_transaction
EXCLUDE_ALL
enum value
member of svt_chi_node_configuration :: perf_inactivity_algorithm_type_enum
EXCLUDE_BEGIN_END
enum value
member of svt_chi_node_configuration :: perf_inactivity_algorithm_type_enum
EXCLUDE_UNSTARTED_XACT
enum value
member of svt_chi_node_configuration :: reset_type_enum
exclusive_access_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
exclusive_access_sema
attribute
attribute type semaphore, defined in class svt_chi_exclusive_monitor
exclusive_access_seq_sema
attribute
attribute type semaphore, defined in class svt_chi_rn_transaction_base_sequence
exclusive_accesses_pair_transaction_sequence
attribute
attribute type int, defined in class svt_chi_scenario_coverage_database
exclusive_accesses_transaction_event
attribute
attribute type event, defined in class svt_chi_scenario_coverage_database
exclusive_chi_transaction_type_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
EXCLUSIVE_LOAD_FOLLOWED_BY_EXCLUSIVE_SECURE_SEQ_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_EXCLUSIVE_SECURE_SEQ_FOLLOWED_BY_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_EXCLUSIVE_SECURE_SEQ_FROM_DIFF_RN_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_EXCLUSIVE_SECURE_SEQ_FROM_DIFF_RN_FOLLOWED_BY_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_EXCLUSIVE_SEQ_DIFF_LPID_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_MULTIPLE_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_MULTIPLE_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_DIFF_LPID_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_DIFF_LPID_FOLLOWED_BY_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_SAME_LPID_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_SAME_LPID_FOLLOWED_BY_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_SAME_RN_BUT_DIFF_LPID_FOLLOWED_BY_EXCLUSIVE_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
EXCLUSIVE_LOAD_FOLLOWED_BY_NORMAL_STORE_FROM_SAME_RN_BUT_DIFF_LPID_FOLLOWED_BY_EXCLUSIVE_STORE_TGT_SAME_CACHELINE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
exclusive_load_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_exclusive_monitor
exclusive_load_reset_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_exclusive_monitor
exclusive_load_resp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
exclusive_monitor_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
EXCLUSIVE_OKAY
enum value
member of svt_chi_common_transaction :: resp_err_status_enum
exclusive_sequence_transaction_activity_callback_data
function arg
arg type svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data, defined in function svt_chi_exclusive_monitor :: perform_exclusive_load_resp_checks
exclusive_sequence_transaction_activity_callback_data
function arg
arg type svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data, defined in function svt_chi_exclusive_monitor :: perform_exclusive_store_resp_checks
exclusive_sequence_transaction_activity_callback_data
function arg
arg type svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data, defined in function svt_chi_exclusive_monitor :: perform_exclusive_makereadunique_store_final_state_checks
exclusive_sequence_transaction_activity_callback_data
function arg
arg type svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data, defined in function svt_chi_system_monitor_callback :: exclusive_sequence_transaction_activity_execute
exclusive_sequence_transaction_activity_execute
function
function, defined in class svt_chi_system_monitor_callback,  returns type void
exclusive_store_resp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
EXCLUSIVE_STORE_WITHOUT_LOAD
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
exec_count
attribute
attribute type int, defined in class svt_err_check_stats
exec_func
function
function, defined in class uvm_phase,  returns type void
exec_func
function
function, defined in class uvm_build_phase,  returns type void
exec_func
function
function, defined in class uvm_connect_phase,  returns type void
exec_func
function
function, defined in class uvm_end_of_elaboration_phase,  returns type void
exec_func
function
function, defined in class uvm_start_of_simulation_phase,  returns type void
exec_func
function
function, defined in class uvm_extract_phase,  returns type void
exec_func
function
function, defined in class uvm_check_phase,  returns type void
exec_func
function
function, defined in class uvm_report_phase,  returns type void
exec_func
function
function, defined in class uvm_final_phase,  returns type void
exec_task
task
defined in class uvm_phase
exec_task
task
defined in class uvm_run_phase
exec_task
task
defined in class uvm_pre_reset_phase
exec_task
task
defined in class uvm_reset_phase
exec_task
task
defined in class uvm_post_reset_phase
exec_task
task
defined in class uvm_pre_configure_phase
exec_task
task
defined in class uvm_configure_phase
exec_task
task
defined in class uvm_post_configure_phase
exec_task
task
defined in class uvm_pre_main_phase
exec_task
task
defined in class uvm_main_phase
exec_task
task
defined in class uvm_post_main_phase
exec_task
task
defined in class uvm_pre_shutdown_phase
exec_task
task
defined in class uvm_shutdown_phase
exec_task
task
defined in class uvm_post_shutdown_phase
exec_time
attribute
attribute type int, defined in class uvm_cmd_line_verb
execute
function
function, defined in class uvm_phase,  returns type void
execute
function
function, defined in class uvm_task_phase,  returns type void
execute
function
function, defined in class uvm_bottomup_phase,  returns type void
execute
function
function, defined in class uvm_topdown_phase,  returns type void
execute
task
defined in class uvm_sequence_library
execute
function
function, defined in class svt_err_check,  returns type void
EXECUTE
enum value
member of svt_sequence_item :: notifications_e
execute_check
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_check_execute
execute_check
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_hazard_check_execute
execute_check
function arg
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_check_execute_orphaned_snp
execute_item
task
defined in class uvm_sequencer_base
execute_item
task
defined in class svt_reactive_sequencer
execute_stats
function
function, defined in class svt_err_check,  returns type void
exists
function
function, defined in class uvm_pool,  returns type int
exists
function
function, defined in class uvm_config_db,  returns type bit
EXOKAY
enum value
member of svt_amba_pv :: resp_t
exp_comp_ack
attribute
attribute type rand bit, defined in class svt_chi_base_transaction
exp_comp_ack_for_rn_sn_b2b_topology
constraint
defined in class svt_chi_rn_transaction
exp_data_val
task arg
arg type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task svt_chi_system_barrier_sequence :: poll_post_barrier_store
expect_self_snooping_for_req
attribute
attribute type bit, defined in class svt_chi_system_transaction
expect_slave_read_followed_by_slave_write_for_partial_writes_from_rn
attribute
attribute type bit, defined in class svt_chi_node_configuration
EXPECT_SNOOP
enum value
member of svt_chi_system_configuration :: snoop_filter_policy_on_sc_state_enum
EXPECT_SNOOP_TO_ONE_RN_IN_SC_STATE
enum value
member of svt_chi_system_configuration :: snoop_filter_policy_on_sc_state_enum
expect_snpnotshareddirty_for_stashonceshared_xact
attribute
attribute type bit, defined in class svt_chi_system_configuration
expect_snponce_for_stashonceunique_xact
attribute
attribute type bit, defined in class svt_chi_system_configuration
expect_snpshared_for_stashonceshared_xact
attribute
attribute type bit, defined in class svt_chi_system_configuration
expect_snpunique_for_stashonceunique_xact
attribute
attribute type bit, defined in class svt_chi_system_configuration
expect_snpuniquestash_for_stashonceunique_xact
attribute
attribute type bit, defined in class svt_chi_system_configuration
expect_target_id_remapping_by_interconnect
attribute
attribute type bit, defined in class svt_chi_system_configuration
expected
function arg
arg type input uvm_reg_data_t, defined in function uvm_reg :: do_check
EXPECTED
enum value
member of svt_err_check_stats :: fail_effect_enum
expected_compack_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_dvmop_sync_request_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_excl_load_resp
attribute
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
expected_excl_makereadunique_final_state
attribute
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
expected_excl_rresp
attribute
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_exclusive_monitor
expected_excl_store_resp
attribute
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data
expected_excl_wresp
attribute
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_exclusive_monitor
expected_field_value
function arg
arg type bit, defined in function svt_vip_writer :: set_object_field_value_bit
expected_field_value
function arg
arg type bit [1023:0] , defined in function svt_vip_writer :: set_object_field_value_bit_vector
expected_field_value
function arg
arg type logic [1023:0] , defined in function svt_vip_writer :: set_object_field_value_logic_vector
expected_field_value
function arg
arg type longint, defined in function svt_vip_writer :: set_object_field_value_int
expected_field_value
function arg
arg type real, defined in function svt_vip_writer :: set_object_field_value_real
expected_field_value
function arg
arg type realtime, defined in function svt_vip_writer :: set_object_field_value_time
expected_field_value
function arg
arg type string, defined in function svt_vip_writer :: set_object_field_value_string
expected_makereadunique_excl_final_state
attribute
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_exclusive_monitor
expected_num_read_data_flits_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_num_write_data_flits_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_remapped_tgt_id_in_response_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_rsp_flit_for_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_snoop_addr
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_transaction
expected_snoop_xact_type_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_snoopable_ports_for_xact
attribute
attribute type bit, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data
expected_tgt_id_in_rn_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
expected_xact_type_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
EXPIRED
attribute
attribute type uvm_event, defined in class svt_timer
expr
function arg
arg type string, defined in function glboal :: uvm_is_match
ext
function arg
arg type uvm_tlm_extension_base, defined in function uvm_tlm_generic_payload :: set_extension
ext_handle
function arg
arg type uvm_tlm_extension_base, defined in function uvm_tlm_generic_payload :: get_extension
ext_handle
function arg
arg type uvm_tlm_extension_base, defined in function uvm_tlm_generic_payload :: clear_extension
extended_node_id
attribute
attribute type int, defined in class svt_chi_node_configuration
extension
task arg
arg type input uvm_object, defined in task uvm_reg_field :: write
extension
task arg
arg type input uvm_object, defined in task uvm_reg_field :: read
extension
task arg
arg type input uvm_object, defined in task uvm_reg_field :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_reg_field :: peek
extension
task arg
arg type input uvm_object, defined in task uvm_reg_field :: mirror
extension
task arg
arg type input uvm_object, defined in task uvm_vreg_field :: write
extension
task arg
arg type input uvm_object, defined in task uvm_vreg_field :: read
extension
task arg
arg type input uvm_object, defined in task uvm_vreg_field :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_vreg_field :: peek
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: write
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: read
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: peek
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: update
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: mirror
extension
task arg
arg type input uvm_object, defined in task uvm_reg :: XreadX
extension
task arg
arg type input uvm_object, defined in task uvm_vreg :: write
extension
task arg
arg type input uvm_object, defined in task uvm_vreg :: read
extension
task arg
arg type input uvm_object, defined in task uvm_vreg :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_vreg :: peek
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: update
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: mirror
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: write_reg_by_name
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: read_reg_by_name
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: write_mem_by_name
extension
task arg
arg type input uvm_object, defined in task uvm_reg_block :: read_mem_by_name
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: write
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: read
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: burst_write
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: burst_read
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_mem :: peek
extension
attribute
attribute type rand uvm_object, defined in class uvm_reg_item
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: write_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: read_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: poke_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: peek_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: update_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: mirror_reg
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: write_mem
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: read_mem
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: poke_mem
extension
task arg
arg type input uvm_object, defined in task uvm_reg_sequence :: peek_mem
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: write
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: read
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: peek
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: update
extension
task arg
arg type input uvm_object, defined in task uvm_reg_indirect_data :: mirror
extension
task arg
arg type input uvm_object, defined in task uvm_reg_fifo :: update
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: write
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: read
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: burst_write
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: burst_read
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: poke
extension
task arg
arg type input uvm_object, defined in task uvm_mem_region :: peek
EXTERNAL_INTERRUPT_NEXT
enum value
member of svt_fsm_state_base :: state_transition_options_enum
extract
function
function, defined in class uvm_component,  returns type void
extract
function
function, defined in class svt_callback,  returns type void
extract_ph
attribute
attribute type uvm_phase, defined in global
extract_phase
function
function, defined in class uvm_component,  returns type void
extract_phase
function
function, defined in class svt_component,  returns type void
extract_phase
function
function, defined in class svt_driver,  returns type void
extract_phase
function
function, defined in class svt_monitor,  returns type void
extract_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
extract_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
extract_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
extract_phase
function
function, defined in class svt_chi_system_monitor,  returns type void