|
wait_begin |
task |
defined in class svt_sequence_item |
|
wait_end |
task |
defined in class svt_sequence_item |
|
wait_end_last_implementation |
task |
defined in class svt_sequence_item |
|
wait_event_off |
task |
defined in class svt_event_pool |
|
wait_event_on |
task |
defined in class svt_event_pool |
|
wait_event_trigger |
task |
defined in class svt_event_pool |
|
wait_for |
task |
defined in class uvm_objection |
| wait_for |
task |
defined in class uvm_barrier |
|
wait_for_active_xacts_to_end |
task |
defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
WAIT_FOR_ALL_NON_SYNC_TO_COMPLETE |
enum value |
member of svt_chi_node_configuration :: dvm_sync_transmission_policy_enum |
|
WAIT_FOR_ALL_OUTSTANDING_DVM_NON_SYNC_TO_COMPLETE |
enum value |
member of svt_chi_node_configuration :: snp_dvmop_sync_response_policy_enum |
|
WAIT_FOR_ATLEAST_ONE_OUTSTANDING_XACT_TO_END |
macro |
|
|
wait_for_causal_ref |
task |
defined in class svt_sequence_item |
|
wait_for_causal_ref_thru_time_unit |
task |
defined in class svt_sequence_item |
|
WAIT_FOR_COMPLETION_OF_SAME_TXN_ID |
enum value |
member of svt_chi_node_configuration :: single_outstanding_per_txn_id_enum |
|
wait_for_fifo_full |
task |
defined in class svt_fifo_rate_control |
|
wait_for_grant |
task |
defined in class uvm_sequencer_base |
| wait_for_grant |
task |
defined in class uvm_sequence_base |
|
wait_for_input_event |
task |
defined in class svt_traffic_arbiter |
|
wait_for_item_done |
task |
defined in class uvm_sequencer_base |
| wait_for_item_done |
task |
defined in class uvm_sequence_base |
|
wait_for_next |
task |
defined in class svt_sequence_item_base_iter |
| wait_for_next |
task |
defined in class svt_sequence_item_base_queue_iter |
|
WAIT_FOR_NON_SYNC_FROM_SAME_LPID_TO_COMPLETE |
enum value |
member of svt_chi_node_configuration :: dvm_sync_transmission_policy_enum |
|
wait_for_overflow |
task |
defined in class svt_amba_fifo_rate_control |
|
wait_for_prev |
task |
defined in class svt_sequence_item_base_iter |
| wait_for_prev |
task |
defined in class svt_sequence_item_base_queue_iter |
|
wait_for_relevant |
task |
defined in class uvm_sequence_base |
|
wait_for_req |
task |
defined in class svt_reactive_sequencer |
| wait_for_req |
task |
defined in class svt_reactive_sequence |
|
wait_for_req_called |
attribute |
attribute type bit, defined in class svt_reactive_sequencer |
|
wait_for_reset |
task |
defined in class svt_fsm |
| wait_for_reset |
task |
defined in class svt_chi_link_txla_fsm |
| wait_for_reset |
task |
defined in class svt_chi_link_rxla_fsm |
| wait_for_reset |
task |
defined in class svt_chi_link_sysco_interface_fsm |
|
wait_for_reset_done |
task |
defined in class svt_amba_fifo_rate_control |
|
wait_for_response_request |
task |
defined in class svt_chi_sn_transaction_base_sequence |
| wait_for_response_request |
task |
defined in class svt_chi_ic_sn_transaction_base_sequence |
|
wait_for_sequence_state |
task |
defined in class uvm_sequence_base |
|
wait_for_sequences |
task |
defined in class uvm_sequencer_base |
| wait_for_sequences |
task |
defined in class uvm_sqr_if_base |
| wait_for_sequences |
task |
defined in class uvm_seq_item_pull_port |
| wait_for_sequences |
task |
defined in class uvm_seq_item_pull_export |
| wait_for_sequences |
task |
defined in class uvm_seq_item_pull_imp |
|
wait_for_snoop_request |
task |
defined in class svt_chi_snoop_transaction_base_sequence |
|
wait_for_state |
task |
defined in class uvm_phase |
|
wait_for_state_transition |
task |
defined in class svt_fsm |
|
wait_for_timeout |
task |
defined in class svt_timer |
|
wait_for_total_count |
task |
defined in class uvm_objection |
|
wait_for_tx_compack_prereqs |
task |
defined in class svt_chi_rn_transaction |
|
wait_for_tx_data_prereqs |
task |
defined in class svt_chi_rn_transaction |
|
wait_for_underflow |
task |
defined in class svt_amba_fifo_rate_control |
|
wait_modified |
task |
defined in class uvm_resource_base |
| wait_modified |
task |
defined in class uvm_config_db |
|
wait_off |
task |
defined in class uvm_event |
| wait_off |
task |
defined in class svt_controlled_event |
|
wait_on |
task |
defined in class uvm_event |
| wait_on |
task |
defined in class svt_controlled_event |
|
WAIT_ON_CREDIT_ACCUMULATION_BEFORE_TXREQ_ASSERTION |
enum value |
member of svt_chi_node_configuration :: reference_event_for_txreq_assertion_when_rx_is_in_deactivate_state_enum |
|
WAIT_ON_CREDIT_ACCUMULATION_BEFORE_TXREQ_DEASSERTION |
enum value |
member of svt_chi_node_configuration :: reference_event_for_txreq_deassertion_when_rx_is_in_deactivate_state_enum |
|
wait_ptrigger |
task |
defined in class uvm_event |
| wait_ptrigger |
task |
defined in class svt_controlled_event |
|
wait_ptrigger_data |
task |
defined in class uvm_event |
|
wait_reset_transition_observed |
task |
defined in class svt_amba_fifo_rate_control |
|
wait_time |
task arg |
arg type int, defined in task svt_sequence_item :: wait_for_causal_ref_thru_time_unit |
|
wait_trigger |
task |
defined in class uvm_event |
| wait_trigger |
task |
defined in class svt_controlled_event |
|
wait_trigger_data |
task |
defined in class uvm_event |
|
waiters |
attribute |
attribute type int, defined in class uvm_objection_events |
|
wakeup |
function arg |
arg type bit, defined in function uvm_barrier :: reset |
| wakeup |
function arg |
arg type bit, defined in function uvm_event :: reset |
|
warning |
attribute |
attribute type bit, defined in class uvm_status_container |
| WARNING |
enum value |
member of svt_types :: severity_enum |
| WARNING |
enum value |
member of svt_err_check_stats :: fail_effect_enum |
|
was_unique |
function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_snoop_was_unique |
|
way_number |
function arg |
arg type int, defined in function svt_axi_cache :: set_associative_cache_way_number |
|
wdat |
task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field :: pre_write |
| wdat |
task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_field :: post_write |
| wdat |
task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg :: pre_write |
| wdat |
task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg :: post_write |
| wdat |
task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field_cbs :: pre_write |
| wdat |
task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_field_cbs :: post_write |
| wdat |
task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_cbs :: pre_write |
| wdat |
task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_cbs :: post_write |
|
what |
function arg |
arg type int, defined in function uvm_status_container :: get_function_type |
| what |
function arg |
arg type int, defined in function uvm_report_catcher :: debug_report_catcher |
|
what__ |
function arg |
arg type int, defined in function uvm_recorder :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_object :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_class_pair :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_built_in_pair :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_sequence_library_cfg :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_sequence_library :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_tlm_generic_payload :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_field :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_vreg_field :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_item :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_map :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_tlm_adapter :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_backdoor :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_read_only_cbs :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_write_only_cbs :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_hw_reset_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_single_bit_bash_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_bit_bash_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_mem_single_walk_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_mem_walk_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_mem_single_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_mem_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_single_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_mem_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_shared_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_mem_shared_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_mem_shared_access_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_mem_built_in_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function uvm_reg_mem_hdl_paths_seq :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_amba_pv_extension :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_rn_transaction_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_sn_transaction_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_ic_sn_transaction_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_rn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_rn_virtual_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_ic_rn_virtual_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_sn_virtual_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_ic_sn_virtual_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function chi_rn_barrier_directed_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function chi_rn_directed_noncoherent_xact_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function chi_rn_barrier_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_tlm_generic_payload_sequencer :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_reg_adapter :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_system_monitor :: __m_uvm_field_automation |
| what__ |
function arg |
arg type int, defined in function svt_chi_interconnect :: __m_uvm_field_automation |
|
where |
function arg |
arg type string, defined in function uvm_typed_callbacks :: m_cb_find_name |
|
width |
function arg |
arg type int unsigned, defined in function uvm_tlm_generic_payload :: set_streaming_width |
| width |
function arg |
arg type int unsigned, defined in function uvm_reg_block :: check_data_width |
| width |
function arg |
arg type int, defined in function svt_data_converter :: initialize_crc |
| width |
function arg |
arg type int, defined in function svt_sequence_item :: initialize_crc |
|
with_header |
function arg |
arg type bit, defined in function svt_sequence_item_report :: psdisplay_xact |
| with_header |
function arg |
arg type bit, defined in function svt_sequence_item_report :: psdisplay_xact_queue |
|
with_phase |
function arg |
arg type uvm_phase, defined in function uvm_phase :: add |
| with_phase |
function arg |
arg type uvm_phase, defined in function uvm_phase :: sync |
| with_phase |
function arg |
arg type uvm_phase, defined in function uvm_phase :: unsync |
|
word_size |
attribute |
attribute type int, defined in class uvm_packer |
|
WR |
enum value |
member of svt_chi_rn_exclusive_access_sequence :: seq_xact_type_enum |
|
wr_val |
function arg |
arg type uvm_reg_data_t, defined in function uvm_reg_field :: XpredictX |
|
wrap |
task arg |
arg type uvm_object_wrapper, defined in task uvm_sequence_library :: execute |
| WRAP |
enum value |
member of svt_amba_pv :: burst_t |
|
write |
function |
function, defined in class uvm_resource,
returns type void |
| write |
function |
function, defined in class uvm_tlm_if_base,
returns type void |
| write |
function |
function, defined in class uvm_analysis_port,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp,
returns type void |
| write |
function |
function, defined in class uvm_analysis_export,
returns type void |
| write |
function |
function, defined in class uvm_tlm_analysis_fifo,
returns type void |
| write |
function |
function, defined in class uvm_algorithmic_comparator,
returns type void |
| write |
function |
function, defined in class uvm_subscriber,
returns type void |
| write |
function |
function, defined in class uvm_sequencer_analysis_fifo,
returns type void |
| write |
task |
defined in class uvm_reg_field |
| write |
task |
defined in class uvm_vreg_field |
| write |
task |
defined in class uvm_reg |
| write |
task |
defined in class uvm_vreg |
| write |
task |
defined in class uvm_mem |
| write |
task |
defined in class uvm_reg_indirect_data |
| write |
function |
function, defined in class uvm_reg_predictor,
returns type void |
| write |
task |
defined in class uvm_reg_backdoor |
| write |
task |
defined in class uvm_mem_region |
| write |
function |
function, defined in class svt_downstream_imp,
returns type void |
| write |
function |
function, defined in class svt_mem_word,
returns type bit |
| write |
function |
function, defined in class svt_mem,
returns type bit |
| write |
function |
function, defined in class svt_axi_cache_line,
returns type bit |
| write |
function |
function, defined in class svt_axi_cache,
returns type bit |
| WRITE |
enum value |
member of svt_chi_transaction :: xact_category_enum |
| write |
function |
function, defined in class svt_chi_sn_transaction_sequencer,
returns type void |
| write |
function |
function, defined in class svt_chi_sn_transaction_base_sequence,
returns type void |
| write |
function |
function, defined in class svt_chi_ic_sn_transaction_sequencer,
returns type void |
| write |
function |
function, defined in class svt_chi_ic_sn_transaction_base_sequence,
returns type void |
| write |
function |
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,
returns type void |
| write |
function |
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_tx_req_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_tx_rsp_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_tx_dat_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_rx_dat_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_rx_rsp_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_rx_snp_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_sn_rx_req_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_sn_rx_dat_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_sn_tx_rsp_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_sn_tx_dat_flit,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_rn_coh_xact,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_rn_snp_xact,
returns type void |
| write |
function |
function, defined in class uvm_analysis_imp_sn_xact,
returns type void |
|
WRITE_ACCESS |
enum value |
member of svt_amba_addr_mapper :: direction_type_enum |
|
write_by_name |
function |
function, defined in class uvm_resource_db,
returns type bit |
|
write_by_type |
function |
function, defined in class uvm_resource_db,
returns type bit |
|
write_dat_be_pattern |
attribute |
attribute type svt_chi_common_transaction :: byte_enable_pattern_enum, defined in class svt_chi_transaction |
|
write_dat_data_pattern |
attribute |
attribute type svt_chi_common_transaction :: data_pattern_enum, defined in class svt_chi_transaction |
|
write_dat_xfer_after_dbid_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
write_fifo_cfg |
attribute |
attribute type svt_fifo_rate_control_configuration, defined in class svt_traffic_profile_transaction |
|
write_fifo_rate_control |
attribute |
attribute type svt_fifo_rate_control, defined in class svt_traffic_profile_transaction |
|
write_fifo_rate_control_configs |
attribute |
attribute type protected svt_fifo_rate_control_configuration, defined in class svt_traffic_arbiter |
|
write_mem |
task |
defined in class uvm_reg_sequence |
|
write_mem_by_name |
task |
defined in class uvm_reg_block |
|
write_poison |
function |
function, defined in class svt_chi_memory,
returns type void |
|
write_rate_in_bytes_per_cycle |
attribute |
attribute type real, defined in class svt_amba_fifo_rate_control |
|
write_reg |
task |
defined in class uvm_reg_sequence |
|
write_reg_by_name |
task |
defined in class uvm_reg_block |
|
write_rx_dat_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
write_rx_rsp_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
write_rx_snp_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
write_sn_rx_dat_flit |
function |
function, defined in class svt_chi_sn_protocol_monitor,
returns type void |
|
write_sn_rx_req_flit |
function |
function, defined in class svt_chi_sn_protocol_monitor,
returns type void |
|
write_sn_tx_dat_flit |
function |
function, defined in class svt_chi_sn_protocol_monitor,
returns type void |
|
write_sn_tx_rsp_flit |
function |
function, defined in class svt_chi_sn_protocol_monitor,
returns type void |
|
write_tag_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
WRITE_THROUGHPUT |
enum value |
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum |
|
write_to_icn_mem |
function |
function, defined in class svt_chi_interconnect_env,
returns type bit |
|
write_tx_dat_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
write_tx_req_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
write_tx_rsp_flit |
function |
function, defined in class svt_chi_rn_protocol_monitor,
returns type void |
|
WRITE_TYPE_FIFO |
enum value |
member of svt_fifo_rate_control_configuration :: fifo_type_enum |
|
write_xact |
attribute |
attribute type svt_chi_rn_transaction, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
|
writeback_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeback_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
WRITEBACK_TO_MEMORY_BEFORE_CMO |
enum value |
member of svt_chi_transaction :: auto_generation_category_enum |
|
WRITEBACKFULL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITEBACKFULL_CLEANINVALID |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writebackfull_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEBACKFULL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writebackfull_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writebackfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEBACKFULL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writebackfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writebackfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writebackfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writebackfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEBACKPTL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writebackptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writebackptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writeclean_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeclean_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
WRITECLEANFULL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITECLEANFULL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writecleanfull_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writecleanfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITECLEANFULL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writecleanfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writecleanfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writecleanfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writecleanfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITECLEANPTL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writecleanptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writecleanptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writecmo_compcmo_resp_err |
attribute |
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction |
|
WRITEDATACANCEL |
enum value |
member of svt_chi_common_transaction :: dat_msg_type_enum |
|
writeevict_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeevict_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
WRITEEVICTFULL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeevictfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeevictfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEEVICTOREVICT |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeevictorevict_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeevictorevict_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeevictorevict_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeevictorevict_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writememh |
task |
defined in class uvm_reg_block |
|
writenosnp_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnp_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
WRITENOSNPFULL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITENOSNPFULL_CLEANINVALID |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpfull_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPFULL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpfull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPFULL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpfull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writenosnpfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpfull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPPTL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITENOSNPPTL_CLEANINVALID |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpptl_cleaninvalid_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPPTL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpptl_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPPTL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpptl_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpptl_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writenosnpptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITENOSNPZERO |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writenosnpzero_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpzero_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writenosnpzero_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writenosnpzero_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writer |
attribute |
attribute type svt_vip_writer, defined in class svt_debug_vip_descriptor |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_prop_vals_to_xml |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_object_begin |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_object_data |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_pattern_to_xml |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_child_refs |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_ref |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: is_safe_as_ref |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_sequence_item :: save_prop_vals_to_xml |
| writer |
function arg |
arg type svt_xml_writer, defined in function svt_fsm_state_base :: save_to_xml |
|
writes_with_optional_data_xact_response_type |
attribute |
attribute type svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum, defined in class svt_chi_interconnect_configuration |
|
writes_with_optional_data_xact_response_type_enum |
enum typedef |
defined in class svt_chi_interconnect_configuration |
|
writeunique_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeunique_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
WRITEUNIQUEFULL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITEUNIQUEFULL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniquefull_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniquefull_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writeuniquefull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniquefull_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEFULLSTASH |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniquefullstash_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefullstash_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquefullstash_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniquefullstash_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEPTL |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
WRITEUNIQUEPTL_CLEANSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniqueptl_cleanshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptl_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniqueptl_cleansharedpersistsep_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
writeuniqueptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniqueptl_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEPTLSTASH |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptlstash_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniqueptlstash_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniqueptlstash_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
WRITEUNIQUEZERO |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
writeuniquezero_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquezero_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
writeuniquezero_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| writeuniquezero_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
wysiwyg_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |