VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-A SVT UVM Documentation - index - b

b
function arg
arg type T, defined in function uvm_built_in_comp :: comp
b
function arg
arg type input T, defined in function uvm_class_comp :: comp
b
function arg
arg type input BEFORE, defined in function uvm_algorithmic_comparator :: write
b_transport
task
defined in class uvm_tlm_if
b_transport
task
defined in class uvm_tlm_b_transport_imp
b_transport
task
defined in class uvm_tlm_b_transport_port
b_transport
task
defined in class uvm_tlm_b_transport_export
b_transport
task
defined in class uvm_tlm_b_initiator_socket_base
b_transport
task
defined in class uvm_tlm_b_passthrough_initiator_socket_base
b_transport
task
defined in class uvm_tlm_b_passthrough_target_socket_base
b_transport
task
defined in class uvm_tlm_b_target_socket
BACK_ADD
enum value
member of svt_sequence_item_base_queue_iter :: change_type_enum
BACK_DELETE
enum value
member of svt_sequence_item_base_queue_iter :: change_type_enum
back_invalidation_snoop
attribute
attribute type bit, defined in class svt_chi_snoop_transaction
backdoor
function
function, defined in class uvm_reg_map,  returns type uvm_reg_map
backdoor_read
task
defined in class uvm_reg
backdoor_read
task
defined in class uvm_mem
backdoor_read_func
function
function, defined in class uvm_reg,  returns type uvm_status_e
backdoor_read_func
function
function, defined in class uvm_mem,  returns type uvm_status_e
backdoor_watch
task
defined in class uvm_reg
backdoor_write
task
defined in class uvm_reg
backdoor_write
task
defined in class uvm_mem
backdoor_write
function
function, defined in class svt_axi_cache,  returns type bit
bar
function arg
arg type svt_amba_pv :: bar_t, defined in function svt_amba_pv_extension :: set_bar
bar_t
enum typedef
defined in class svt_amba_pv
barrier_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
barrier_tran
attribute
attribute type svt_chi_rn_transaction, defined in class chi_rn_barrier_directed_sequence
barrier_xact
attribute
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_barrier_sequence
base_addr
function arg
arg type uvm_reg_addr_t, defined in function uvm_reg_block :: create_map
base_addr
function arg
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: configure
base_addr
function arg
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: get_physical_addresses
base_addr
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
base_addr
attribute
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_ic_snoop_transaction_directed_sequence
base_cfg
attribute
attribute type svt_configuration, defined in class svt_chi_system_base_virtual_sequence
base_name
function arg
arg type output string, defined in function svt_sequence_item_base :: strip_array_element_suffix
base_txn_id
attribute
attribute type rand bit [(SVT_CHI_XACT_TXN_ID_WIDTH-1):0], defined in class svt_chi_ic_snoop_transaction_directed_sequence
bash_kth_bit
task
defined in class uvm_reg_single_bit_bash_seq
basic_cleaninvalid
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_cleanunique
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_evict
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence
basic_makeunique
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_readnotshareddirty
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_readshared
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_writeback
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
basic_writebackfull
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence
basic_writeclean
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
bd_kind
attribute
attribute type string, defined in class uvm_reg_item
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: predict
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: do_predict
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: predict
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: do_predict
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg_indirect_data :: do_predict
be
function arg
arg type uvm_reg_byte_en_t, defined in function uvm_reg_fifo :: do_predict
BE_ONES
enum value
member of svt_chi_common_transaction :: byte_enable_pattern_enum
BE_PARTIAL_DATA
enum value
member of svt_chi_common_transaction :: byte_enable_pattern_enum
BE_ZEROS
enum value
member of svt_chi_common_transaction :: byte_enable_pattern_enum
before_export
attribute
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator
before_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_algorithmic_comparator
before_phase
function arg
arg type uvm_phase, defined in function uvm_phase :: add
begin_child_tr
function
function, defined in class uvm_component,  returns type integer
begin_child_tr
function
function, defined in class uvm_transaction,  returns type integer
begin_elements
attribute
attribute type int, defined in class uvm_printer_knobs
begin_event
attribute
attribute type uvm_event, defined in class uvm_transaction
begin_is_off
function
function, defined in class svt_sequence_item,  returns type bit
begin_is_on
function
function, defined in class svt_sequence_item,  returns type bit
BEGIN_REQ
enum value
member of global items uvm_tlm_phase_e
BEGIN_RESP
enum value
member of global items uvm_tlm_phase_e
begin_time
function arg
arg type time, defined in function uvm_recorder :: begin_tr
begin_time
function arg
arg type time, defined in function uvm_component :: begin_tr
begin_time
function arg
arg type time, defined in function uvm_component :: begin_child_tr
begin_time
function arg
arg type time, defined in function uvm_component :: m_begin_tr
begin_time
function arg
arg type time, defined in function uvm_transaction :: begin_tr
begin_time
function arg
arg type time, defined in function uvm_transaction :: begin_child_tr
begin_time
function arg
arg type time, defined in function uvm_transaction :: m_begin_tr
begin_time
function arg
arg type realtime, defined in function svt_vip_writer :: object_create
begin_tr
function
function, defined in class uvm_recorder,  returns type integer
begin_tr
function
function, defined in class uvm_component,  returns type integer
begin_tr
function
function, defined in class uvm_transaction,  returns type integer
big_endian
attribute
attribute type bit, defined in class uvm_packer
bin_radix
attribute
attribute type string, defined in class uvm_printer_knobs
bits
function arg
arg type ref bit unsigned, defined in function uvm_packer :: get_bits
bitstream
attribute
attribute type static bit, defined in class uvm_packer
bitstream
function arg
arg type ref bit unsigned, defined in function uvm_packer :: put_bits
bitstream
function arg
arg type ref bit, defined in function uvm_object :: pack
bitstream
function arg
arg type ref bit, defined in function uvm_object :: unpack
bitstream
attribute
attribute type uvm_bitstream_t, defined in class uvm_status_container
bkdr
function arg
arg type uvm_reg_backdoor, defined in function uvm_reg :: set_backdoor
bkdr
function arg
arg type uvm_reg_backdoor, defined in function uvm_reg_block :: set_backdoor
bkdr
function arg
arg type uvm_reg_backdoor, defined in function uvm_mem :: set_backdoor
blk
function arg
arg type uvm_reg_block, defined in function uvm_reg_block :: add_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_hw_reset_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_mem_access_seq :: reset_blk
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: reset_blk
blk
function arg
arg type uvm_reg_block, defined in function uvm_reg_mem_hdl_paths_seq :: do_block
blk
task arg
arg type uvm_reg_block, defined in task uvm_reg_mem_hdl_paths_seq :: reset_blk
blk_parent
function arg
arg type uvm_reg_block, defined in function uvm_reg :: configure
blk_parent
function arg
arg type uvm_reg_block, defined in function uvm_reg :: set_parent
blk_parent
function arg
arg type uvm_reg_block, defined in function uvm_reg_file :: configure
blk_parent
function arg
arg type uvm_reg_block, defined in function uvm_reg_indirect_data :: configure
blks
function arg
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_root_blocks
blks
function arg
arg type ref uvm_reg_block, defined in function uvm_reg_block :: find_blocks
blks
function arg
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_blocks
blocking_get_export
attribute
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base
blocking_get_peek_export
attribute
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base
blocking_get_peek_request_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_get_peek_response_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_get_request_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_get_response_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_master_export
attribute
attribute type uvm_master_imp, defined in class uvm_tlm_req_rsp_channel
blocking_mode
attribute
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence
blocking_peek_export
attribute
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base
blocking_peek_request_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_peek_response_export
attribute
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel
blocking_put_export
attribute
attribute type uvm_put_imp, defined in class uvm_tlm_fifo_base
blocking_put_port
attribute
attribute type uvm_blocking_put_port, defined in class uvm_random_stimulus
blocking_put_request_export
attribute
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel
blocking_put_response_export
attribute
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel
blocking_slave_export
attribute
attribute type uvm_slave_imp, defined in class uvm_tlm_req_rsp_channel
body
task
defined in class uvm_sequence_base
body
task
defined in class uvm_sequence_library
body
task
defined in class uvm_random_sequence
body
task
defined in class uvm_exhaustive_sequence
body
task
defined in class uvm_simple_sequence
body
task
defined in class uvm_reg_sequence
body
task
defined in class uvm_reg_indirect_ftdr_seq
body
task
defined in class uvm_reg_hw_reset_seq
body
task
defined in class uvm_reg_single_bit_bash_seq
body
task
defined in class uvm_reg_bit_bash_seq
body
task
defined in class uvm_mem_single_walk_seq
body
task
defined in class uvm_mem_walk_seq
body
task
defined in class uvm_mem_single_access_seq
body
task
defined in class uvm_mem_access_seq
body
task
defined in class uvm_reg_single_access_seq
body
task
defined in class uvm_reg_access_seq
body
task
defined in class uvm_reg_mem_access_seq
body
task
defined in class uvm_reg_shared_access_seq
body
task
defined in class uvm_mem_shared_access_seq
body
task
defined in class uvm_reg_mem_shared_access_seq
body
task
defined in class uvm_reg_mem_built_in_seq
body
task
defined in class uvm_reg_mem_hdl_paths_seq
body
task
defined in class svt_dispatch_sequence
body
task
defined in class svt_fsm_state_base
body
task
defined in class svt_chi_rn_transaction_base_sequence
body
task
defined in class svt_chi_sn_transaction_base_sequence
body
task
defined in class svt_chi_ic_sn_transaction_base_sequence
body
task
defined in class svt_chi_ic_snoop_transaction_base_sequence
body
task
defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence
body
task
defined in class svt_chi_system_cacheline_initialization_virtual_sequence
body
task
defined in class svt_chi_rn_transaction_random_sequence
body
task
defined in class svt_chi_rn_transaction_xact_type_sequence
body
task
defined in class svt_chi_rn_transaction_null_sequence
body
task
defined in class svt_chi_rn_coherent_transaction_base_sequence
body
task
defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence
body
task
defined in class svt_chi_rn_transaction_dvm_sync_sequence
body
task
defined in class svt_chi_rn_eobarrier_sequence
body
task
defined in class svt_chi_rn_ecbarrier_sequence
body
task
defined in class svt_chi_rn_go_noncoherent_sequence
body
task
defined in class svt_chi_rn_read_type_transaction_directed_sequence
body
task
defined in class svt_chi_rn_exclusive_access_sequence
body
task
defined in class svt_chi_rn_write_type_transaction_directed_sequence
body
task
defined in class svt_chi_rn_copyback_type_transaction_directed_sequence
body
task
defined in class svt_chi_rn_cmo_type_transaction_directed_sequence
body
task
defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence
body
task
defined in class chi_rn_barrier_directed_sequence
body
task
defined in class chi_rn_noncoherent_transaction_base_sequence
body
task
defined in class chi_rn_directed_noncoherent_xact_sequence
body
task
defined in class svt_chi_rn_snoop_response_sequence
body
task
defined in class svt_chi_rn_directed_snoop_response_sequence
body
task
defined in class svt_chi_ic_snoop_transaction_random_sequence
body
task
defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence
body
task
defined in class svt_chi_ic_snoop_transaction_directed_sequence
body
task
defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence
body
task
defined in class svt_chi_sn_transaction_memory_sequence
body
task
defined in class svt_chi_sn_transaction_null_sequence
body
task
defined in class svt_chi_ic_sn_transaction_memory_sequence
body
task
defined in class svt_chi_ic_sn_suspend_response_sequence
body
task
defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence
body
task
defined in class svt_chi_ic_sn_read_data_interleave_response_sequence
body
task
defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence
body
task
defined in class svt_chi_ic_sn_random_response_sequence
body
task
defined in class svt_chi_ic_sn_reordering_response_sequence
body
task
defined in class svt_chi_protocol_service_base_sequence
body
task
defined in class svt_chi_protocol_service_random_sequence
body
task
defined in class svt_chi_protocol_service_coherency_exit_sequence
body
task
defined in class svt_chi_protocol_service_coherency_entry_sequence
body
task
defined in class svt_chi_protocol_service_random_coherency_exit_sequence
body
task
defined in class svt_chi_link_service_base_sequence
body
task
defined in class svt_chi_link_service_random_sequence
body
task
defined in class svt_chi_link_service_deactivate_sequence
body
task
defined in class svt_chi_link_service_activate_sequence
body
task
defined in class svt_chi_system_cacheline_invalidation_virtual_sequence
body
task
defined in class svt_chi_system_base_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence
body
task
defined in class svt_chi_system_multi_node_random_virtual_sequence
body
task
defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readshared_virtual_sequence
body
task
defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readonce_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readnosnp_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readclean_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readspec_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence
body
task
defined in class svt_chi_system_single_node_readunique_virtual_sequence
body
task
defined in class svt_chi_system_single_node_cleanunique_virtual_sequence
body
task
defined in class svt_chi_system_single_node_makeunique_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writebackfull_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writebackptl_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence
body
task
defined in class svt_chi_system_single_node_evict_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence
body
task
defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence
body
task
defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence
body
task
defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence
body
task
defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence
body
task
defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence
body
task
defined in class svt_chi_system_single_node_cleanshared_virtual_sequence
body
task
defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence
body
task
defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence
body
task
defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence
body
task
defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence
body
task
defined in class svt_chi_system_single_node_dvm_virtual_sequence
body
task
defined in class svt_chi_system_barrier_sequence
body
task
defined in class svt_chi_system_coherent_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence
body
task
defined in class chi_rn_barrier_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence
body
task
defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence
body
task
defined in class svt_chi_link_txla_stop_state
body
task
defined in class svt_chi_link_txla_activate_state
body
task
defined in class svt_chi_link_txla_run_state
body
task
defined in class svt_chi_link_txla_deactivate_state
body
task
defined in class svt_chi_link_rxla_stop_state
body
task
defined in class svt_chi_link_rxla_activate_state
body
task
defined in class svt_chi_link_rxla_run_state
body
task
defined in class svt_chi_link_rxla_deactivate_state
BODY
enum value
member of global items uvm_sequence_state
bottom_layer
attribute
attribute type svt_chi_system_configuration :: chi_layer_enum, defined in class svt_chi_system_configuration
BRANCH_PREDICTOR_INVALIDATE
enum value
member of svt_amba_pv :: dvm_message_t
BROAD
enum value
member of uvm_mem_mam :: locality_e
buff
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_bufferable
buff
function arg
arg type output int, defined in function glboal :: stat
buffer_in_change
function
function, defined in class svt_logger,  returns type void
buffer_out_change
function
function, defined in class svt_logger,  returns type void
build
function
function, defined in class uvm_component,  returns type void
build
function
function, defined in class uvm_sequencer_base,  returns type void
build
function
function, defined in class uvm_reg_indirect_data,  returns type void
build
function
function, defined in class uvm_reg_fifo,  returns type void
build
function
function, defined in class svt_fsm,  returns type void
build
function
function, defined in class svt_chi_link_txla_fsm,  returns type void
build
function
function, defined in class svt_chi_link_rxla_fsm,  returns type void
build_coverage
function
function, defined in class uvm_reg,  returns type uvm_reg_cvr_t
build_coverage
function
function, defined in class uvm_reg_block,  returns type uvm_reg_cvr_t
build_coverage
function
function, defined in class uvm_mem,  returns type uvm_reg_cvr_t
build_ph
attribute
attribute type uvm_phase, defined in global
build_phase
function
function, defined in class uvm_component,  returns type void
build_phase
function
function, defined in class uvm_root,  returns type void
build_phase
function
function, defined in class uvm_sequencer_base,  returns type void
build_phase
function
function, defined in class uvm_port_component_base,  returns type void
build_phase
function
function, defined in class uvm_tlm_fifo_base,  returns type void
build_phase
function
function, defined in class uvm_agent,  returns type void
build_phase
function
function, defined in class uvm_sequencer_param_base,  returns type void
build_phase
function
function, defined in class svt_component,  returns type void
build_phase
function
function, defined in class svt_driver,  returns type void
build_phase
function
function, defined in class svt_monitor,  returns type void
build_phase
function
function, defined in class svt_sequencer,  returns type void
build_phase
function
function, defined in class svt_agent,  returns type void
build_phase
function
function, defined in class svt_env,  returns type void
build_phase
function
function, defined in class svt_traffic_arbiter,  returns type void
build_phase
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_link_service_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_flit_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_system_virtual_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_rn_protocol,  returns type void
build_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_rn_link,  returns type void
build_phase
function
function, defined in class svt_chi_rn_link_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_sn_link,  returns type void
build_phase
function
function, defined in class svt_chi_ic_rn_link,  returns type void
build_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
build_phase
function
function, defined in class svt_chi_tlm_generic_payload_sequencer,  returns type void
build_phase
function
function, defined in class svt_chi_ic_rn_agent,  returns type void
build_phase
function
function, defined in class svt_chi_sn_protocol,  returns type void
build_phase
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_sn_link_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_ic_sn_link,  returns type void
build_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
build_phase
function
function, defined in class svt_chi_ic_sn_agent,  returns type void
build_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
build_phase
function
function, defined in class svt_chi_system_env,  returns type void
build_phase
function
function, defined in class svt_chi_interconnect,  returns type void
build_phase
function
function, defined in class svt_chi_interconnect_env,  returns type void
burst
function arg
arg type svt_amba_pv :: burst_t, defined in function svt_amba_pv_extension :: set_burst
burst_read
task
defined in class uvm_mem
burst_read
task
defined in class uvm_mem_region
burst_t
enum typedef
defined in class svt_amba_pv
burst_write
task
defined in class uvm_mem
burst_write
task
defined in class uvm_mem_region
bus2reg
function
function, defined in class uvm_reg_adapter,  returns type void
bus2reg
function
function, defined in class uvm_reg_tlm_adapter,  returns type void
bus2reg
function
function, defined in class svt_chi_reg_adapter,  returns type void
bus_in
attribute
attribute type uvm_analysis_imp, defined in class uvm_reg_predictor
bus_item
function arg
arg type uvm_sequence_item, defined in function uvm_reg_adapter :: bus2reg
bus_item
function arg
arg type uvm_sequence_item, defined in function uvm_reg_tlm_adapter :: bus2reg
bus_item
function arg
arg type uvm_sequence_item, defined in function svt_chi_reg_adapter :: bus2reg
busy
function arg
arg type bit, defined in function uvm_reg :: Xset_busyX
bw_export
attribute
attribute type uvm_tlm_nb_transport_bw_export, defined in class uvm_tlm_nb_passthrough_initiator_socket_base
bw_imp
attribute
attribute type uvm_tlm_nb_transport_bw_imp, defined in class uvm_tlm_nb_initiator_socket
bw_port
attribute
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_target_socket_base
bw_port
attribute
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_passthrough_target_socket_base
by_pass_read_data_check
attribute
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence
bypass_cacheinit_sd_wrclean_rdshared
attribute
attribute type bit, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
bypass_cacheinit_sd_wrclean_rdshared
attribute
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence
bypass_checking_of_x_z_on_dont_care_fields
attribute
attribute type bit, defined in class svt_chi_node_configuration
bypass_exclusive_makereadunique_store_final_state_checks
attribute
attribute type bit, defined in class svt_chi_exclusive_monitor
bypass_exclusive_store_resp_checks
attribute
attribute type bit, defined in class svt_chi_exclusive_monitor
bypass_mapping_of_attr
attribute
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence
bypass_silent_cache_line_state_transition
attribute
attribute type bit, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
bypass_silent_cache_line_state_transition
attribute
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence
byte_addressing
function arg
arg type bit, defined in function uvm_reg_block :: create_map
byte_addressing
function arg
arg type bit, defined in function uvm_reg_map :: configure
byte_count
attribute
attribute type int, defined in class svt_amba_perf_calc_base
byte_en
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: sample
byte_en
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: XsampleX
byte_enable
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in class svt_chi_transaction
byte_enable
attribute
attribute type bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in class svt_chi_snoop_transaction
byte_enable
attribute
attribute type rand bit [(SVT_CHI_XACT_DAT_FLIT_MAX_BE_WIDTH-1):0], defined in class svt_chi_flit
byte_enable
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_BE_WIDTH-1):0], defined in class svt_chi_rn_exclusive_access_sequence
byte_enable_pattern_enum
enum typedef
defined in class svt_chi_common_transaction
byte_enable_to_pack
function arg
arg type input bit [SVT_CHI_XACT_MAX_BE_WIDTH-1:0], defined in function svt_chi_transaction :: pack_byte_enable_to_byte_stream
byte_enable_to_unpack
function arg
arg type input bit, defined in function svt_chi_transaction :: unpack_byte_stream_to_byte_enable
byte_name
function arg
arg type output string, defined in function svt_data_converter :: get_code_group
byte_size
attribute
attribute type byte, defined in class uvm_packer
byteen
function arg
arg type bit [SVT_MEM_MAX_DATA_WIDTH/8-1:0], defined in function svt_mem_word :: write
byteen
function arg
arg type bit [(SVT_MEM_MAX_DATA_WIDTH/8-1):0], defined in function svt_mem :: write
byteen
function arg
arg type bit, defined in function svt_axi_cache_line :: write
byteen
function arg
arg type bit, defined in function svt_axi_cache :: write
byteen
function arg
arg type bit, defined in function svt_axi_cache :: backdoor_write
byteen
function arg
arg type bit [(SVT_CHI_MAX_DATA_WIDTH/8-1):0], defined in function svt_chi_interconnect_env :: write_to_icn_mem
bytes
function arg
arg type ref byte unsigned, defined in function uvm_packer :: get_bytes
bytestream
function arg
arg type ref byte unsigned, defined in function uvm_packer :: put_bytes
bytestream
function arg
arg type ref byte unsigned, defined in function uvm_object :: pack_bytes
bytestream
function arg
arg type ref byte unsigned, defined in function uvm_object :: unpack_bytes