VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-A SVT UVM Documentation - index - c

cache
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_cacheable
cache_active_rn_xacts
attribute
attribute type static svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
CACHE_FULL
enum value
member of svt_chi_snoop_transaction :: data_pull_value_override_reason_enum
CACHE_FULL_AND_ENTRY_IN_CACHE_NEEDED
enum value
member of svt_chi_transaction :: auto_generation_category_enum
cache_initializing_rn_node_index
attribute
attribute type protected int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence
cache_line_init_rn_f_node_index
attribute
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence
cache_line_size
function arg
arg type int, defined in function svt_axi_cache_line :: new
cache_line_size
function arg
arg type int, defined in function svt_axi_cache :: new
cache_state_enum
enum typedef
defined in class svt_chi_common_transaction
cache_state_of_xact_resp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
cache_structure
function arg
arg type svt_axi_cache :: cache_structure_enum, defined in function svt_axi_cache :: new
cache_structure_enum
enum typedef
defined in class svt_axi_cache
cache_tag
function arg
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_tag
cache_transitioned_to_dirty_from_uce_for_read
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cache_type
attribute
attribute type bit [3:0] , defined in class svt_axi_cache_line
cache_type
function arg
arg type bit [3:0] , defined in function svt_axi_cache_line :: set_cache_type
cache_type
function arg
arg type bit [3:0] , defined in function svt_axi_cache :: set_cache_type
cache_type
function arg
arg type output bit [3:0] , defined in function svt_axi_cache :: get_cache_type
cache_update_complete
attribute
attribute type bit, defined in class svt_chi_transaction
cacheline_init_sema
attribute
attribute type static semaphore, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: write
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: backdoor_write
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: get_least_recently_used
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: reserve_index
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: delete_reservation_for_addr
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: set_associative_cache_set_index
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: get_associative_cache_way_number
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: get_allocated_associative_cache_way_number
cacheline_set_index
function arg
arg type int, defined in function svt_axi_cache :: get_any_index
cacheline_size
attribute
attribute type protected int, defined in class svt_chi_system_coherent_virtual_sequence
cacheline_size_log2
attribute
attribute type protected int, defined in class svt_chi_system_coherent_virtual_sequence
cacheline_state
function arg
arg type svt_chi_common_transaction :: cache_state_enum, defined in function svt_chi_system_transaction :: set_initial_cacheline_state
cacheline_tag
function arg
arg type addr_t, defined in function svt_axi_cache :: write
cacheline_tag
function arg
arg type addr_t, defined in function svt_axi_cache :: backdoor_write
cachelinesize_aligned_addr
attribute
attribute type rand bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_common_transaction
cah
function arg
arg type bit, defined in function svt_axi_cache :: set_cah
cah
function arg
arg type output bit, defined in function svt_axi_cache :: get_cah
calc_timeunit
function
function, defined in class svt_sequence_item_base,  returns type timeunit_enum
calculate_max_widths
function
function, defined in class uvm_table_printer,  returns type void
calculate_slave_id_based_on_xact_handle
attribute
attribute type bit, defined in class svt_chi_system_configuration
calculate_target_hn_based_on_xact_handle
attribute
attribute type bit, defined in class svt_chi_system_configuration
calibrate
function
function, defined in class svt_timer,  returns type void
call_pre_post
task arg
arg type bit, defined in task uvm_sequence_base :: start
callback_client_exists
function
function, defined in class svt_fsm,  returns type bit
callback_client_exists
function
function, defined in class svt_fsm_state_base,  returns type bit
callback_client_exists_cache
attribute
attribute type int, defined in class svt_fsm
callback_client_exists_cache
attribute
attribute type int, defined in class svt_fsm_state_base
callback_data
function arg
arg type svt_chi_system_monitor_end_of_simulation_callback_data, defined in function svt_chi_system_monitor_callback :: end_of_simulation
callback_data
function arg
arg type svt_chi_system_monitor_chi_coherent_snoop_association_callback_data, defined in function svt_chi_system_monitor_callback :: post_process_associated_snoop_transactions_to_chi_coherent_xact
callback_mode
function
function, defined in class uvm_callback,  returns type bit
caller
function arg
arg type input string, defined in function uvm_reg_field :: Xcheck_accessX
caller
function arg
arg type string, defined in function uvm_reg :: get_local_map
caller
function arg
arg type string, defined in function uvm_reg :: get_default_map
caller
function arg
arg type input string, defined in function uvm_reg :: Xcheck_accessX
caller
function arg
arg type string, defined in function uvm_mem :: get_local_map
caller
function arg
arg type string, defined in function uvm_mem :: get_default_map
caller
function arg
arg type input string, defined in function uvm_mem :: Xcheck_accessX
caller
function arg
arg type string, defined in function uvm_reg_indirect_data :: get_local_map
can_get
function
function, defined in class uvm_tlm_if_base,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_imp,  returns type bit
can_get
function
function, defined in class uvm_get_imp,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_peek_imp,  returns type bit
can_get
function
function, defined in class uvm_get_peek_imp,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_master_imp,  returns type bit
can_get
function
function, defined in class uvm_master_imp,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_slave_imp,  returns type bit
can_get
function
function, defined in class uvm_slave_imp,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_port,  returns type bit
can_get
function
function, defined in class uvm_get_port,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_peek_port,  returns type bit
can_get
function
function, defined in class uvm_get_peek_port,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_master_port,  returns type bit
can_get
function
function, defined in class uvm_master_port,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_slave_port,  returns type bit
can_get
function
function, defined in class uvm_slave_port,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_export,  returns type bit
can_get
function
function, defined in class uvm_get_export,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_get_peek_export,  returns type bit
can_get
function
function, defined in class uvm_get_peek_export,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_master_export,  returns type bit
can_get
function
function, defined in class uvm_master_export,  returns type bit
can_get
function
function, defined in class uvm_nonblocking_slave_export,  returns type bit
can_get
function
function, defined in class uvm_slave_export,  returns type bit
can_get
function
function, defined in class uvm_tlm_fifo_base,  returns type bit
can_get
function
function, defined in class uvm_tlm_fifo,  returns type bit
can_peek
function
function, defined in class uvm_tlm_if_base,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_peek_imp,  returns type bit
can_peek
function
function, defined in class uvm_peek_imp,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_get_peek_imp,  returns type bit
can_peek
function
function, defined in class uvm_get_peek_imp,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_master_imp,  returns type bit
can_peek
function
function, defined in class uvm_master_imp,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_slave_imp,  returns type bit
can_peek
function
function, defined in class uvm_slave_imp,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_peek_port,  returns type bit
can_peek
function
function, defined in class uvm_peek_port,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_get_peek_port,  returns type bit
can_peek
function
function, defined in class uvm_get_peek_port,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_master_port,  returns type bit
can_peek
function
function, defined in class uvm_master_port,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_slave_port,  returns type bit
can_peek
function
function, defined in class uvm_slave_port,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_peek_export,  returns type bit
can_peek
function
function, defined in class uvm_peek_export,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_get_peek_export,  returns type bit
can_peek
function
function, defined in class uvm_get_peek_export,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_master_export,  returns type bit
can_peek
function
function, defined in class uvm_master_export,  returns type bit
can_peek
function
function, defined in class uvm_nonblocking_slave_export,  returns type bit
can_peek
function
function, defined in class uvm_slave_export,  returns type bit
can_peek
function
function, defined in class uvm_tlm_fifo_base,  returns type bit
can_peek
function
function, defined in class uvm_tlm_fifo,  returns type bit
can_put
function
function, defined in class uvm_tlm_if_base,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_put_imp,  returns type bit
can_put
function
function, defined in class uvm_put_imp,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_master_imp,  returns type bit
can_put
function
function, defined in class uvm_master_imp,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_slave_imp,  returns type bit
can_put
function
function, defined in class uvm_slave_imp,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_put_port,  returns type bit
can_put
function
function, defined in class uvm_put_port,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_master_port,  returns type bit
can_put
function
function, defined in class uvm_master_port,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_slave_port,  returns type bit
can_put
function
function, defined in class uvm_slave_port,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_put_export,  returns type bit
can_put
function
function, defined in class uvm_put_export,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_master_export,  returns type bit
can_put
function
function, defined in class uvm_master_export,  returns type bit
can_put
function
function, defined in class uvm_nonblocking_slave_export,  returns type bit
can_put
function
function, defined in class uvm_slave_export,  returns type bit
can_put
function
function, defined in class uvm_tlm_fifo_base,  returns type bit
can_put
function
function, defined in class uvm_tlm_fifo,  returns type bit
can_put
function
function, defined in class svt_downstream_imp,  returns type bit
cancel
function
function, defined in class uvm_barrier,  returns type void
cancel
function
function, defined in class uvm_event,  returns type void
CANCELLED
enum value
member of svt_sequence_item :: status_enum
capacity
function
function, defined in class uvm_reg_fifo,  returns type unsigned int
capture_and_disable_checks
function
function, defined in class svt_err_check,  returns type int
capture_is_enabled
function
function, defined in class svt_err_check_stats,  returns type void
catch
function
function, defined in class uvm_report_catcher,  returns type action_e
catch
function
function, defined in class svt_err_check_report_catcher,  returns type action_e
catch
function
function, defined in class svt_uvm_cmd_assistant_report_catcher,  returns type action_e
catch
function
function, defined in class svt_dropping_response_report_catcher,  returns type action_e
catch
function
function, defined in class svt_err_catcher,  returns type action_e
catcher
attribute
attribute type protected svt_err_check_report_catcher, defined in class svt_err_check
CAUGHT
enum value
member of uvm_report_catcher :: action_e
causal
function arg
arg type svt_sequence_item, defined in function svt_sequence_item :: store_causal_ref
causal_traffic_profile
attribute
attribute type svt_sequence_item, defined in class svt_traffic_profile_event
cb
function arg
arg type uvm_event_callback, defined in function uvm_event :: add_callback
cb
function arg
arg type uvm_event_callback, defined in function uvm_event :: delete_callback
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks_base :: m_is_for_me
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks_base :: m_is_registered
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks_base :: m_add_tw_cbs
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks_base :: m_delete_tw_cbs
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks_base :: check_registration
cb
function arg
arg type uvm_callback, defined in function uvm_typed_callbacks :: m_cb_find
cb
function arg
arg type uvm_callback, defined in function uvm_typed_callbacks :: m_add_tw_cbs
cb
function arg
arg type uvm_callback, defined in function uvm_typed_callbacks :: m_delete_tw_cbs
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: m_is_registered
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: m_is_for_me
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: add
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: add_by_name
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: delete
cb
function arg
arg type uvm_callback, defined in function uvm_callbacks :: delete_by_name
cb_name
function arg
arg type string, defined in function svt_debug_opts :: has_force_cb_save_to_fsdb_type
cb_name
function arg
arg type string, defined in function svt_debug_opts :: set_is_playback_callback_available
cb_name
function arg
arg type string, defined in function svt_debug_opts :: get_playback_callback_data_carrier
cb_name
task arg
arg type string, defined in task svt_debug_opts :: put_playback_callback_data_carrier
cbname
function arg
arg type string, defined in function uvm_callbacks :: m_register_pair
ccf_wrap_order_enum
enum typedef
defined in class svt_chi_node_configuration
CCF_WRAP_ORDER_FALSE
enum value
member of svt_chi_node_configuration :: ccf_wrap_order_enum
CCF_WRAP_ORDER_TRUE
enum value
member of svt_chi_node_configuration :: ccf_wrap_order_enum
ccid
attribute
attribute type svt_chi_common_transaction :: ccid_enum, defined in class svt_chi_common_transaction
CCID_DATA_127_DOWN_TO_0
enum value
member of svt_chi_common_transaction :: ccid_enum
CCID_DATA_255_DOWN_TO_128
enum value
member of svt_chi_common_transaction :: ccid_enum
CCID_DATA_383_DOWN_TO_256
enum value
member of svt_chi_common_transaction :: ccid_enum
CCID_DATA_511_DOWN_TO_384
enum value
member of svt_chi_common_transaction :: ccid_enum
ccid_enum
enum typedef
defined in class svt_chi_common_transaction
CEIL
macro
 
cfg
function arg
arg type uvm_mem_mam_cfg, defined in function uvm_mem_mam :: new
cfg
function arg
arg type uvm_mem_mam_cfg, defined in function uvm_mem_mam :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_sequence_item :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_component :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_component :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_component :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_component :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_component :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_component :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_component :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_driver :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_driver :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_driver :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_driver :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_driver :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_driver :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_driver :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_monitor :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_monitor :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_monitor :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_monitor :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_monitor :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_monitor :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_monitor :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_sequencer :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_sequencer :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_sequencer :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_agent :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_agent :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_agent :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_agent :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_agent :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_agent :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_agent :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_env :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_env :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_env :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_env :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_env :: get_static_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_env :: get_dynamic_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_env :: is_valid_cfg_type
cfg
function arg
arg type svt_configuration, defined in function svt_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_sequence_library :: populate_library
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_common_transaction
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_protocol_service
cfg
function arg
arg type svt_configuration, defined in function svt_chi_protocol_service_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_protocol_service_sequencer :: get_cfg
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_link_service
cfg
function arg
arg type svt_configuration, defined in function svt_chi_link_service_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_link_service_sequencer :: get_cfg
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_common_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_common_transaction_exception_list :: setup_randomized_exception
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_base_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_base_transaction_exception_list :: setup_randomized_exception
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_snoop_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_snoop_transaction_exception_list :: setup_randomized_exception
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_transaction_exception_list :: setup_randomized_exception
cfg
function arg
arg type svt_configuration, defined in function svt_chi_flit_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_flit_sequencer :: get_cfg
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_flit_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_flit_exception_list :: setup_randomized_exception
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_transaction_exception_list :: setup_randomized_exception
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_exclusive_monitor
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_sn_transaction_exception
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_transaction_exception_list :: setup_randomized_exception
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_transaction_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_transaction_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_rn_transaction_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_transaction_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_transaction_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_sn_transaction_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_transaction_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_transaction_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_ic_sn_transaction_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_snoop_transaction_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_snoop_transaction_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_rn_snoop_transaction_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: change_static_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: change_dynamic_cfg
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: get_cfg
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_ic_snoop_transaction_base_sequence
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_virtual_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_rn_virtual_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_rn_virtual_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_ic_rn_virtual_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_virtual_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_sn_virtual_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_virtual_sequencer :: reconfigure
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_ic_sn_virtual_sequencer :: get_cfg
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_exclusive_access_sequence
cfg
attribute
attribute type svt_chi_node_configuration, defined in class chi_rn_barrier_directed_sequence
cfg
attribute
attribute type svt_chi_node_configuration, defined in class chi_rn_directed_noncoherent_xact_sequence
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_directed_snoop_response_sequence
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_sn_transaction_memory_sequence
cfg
function arg
arg type svt_configuration, defined in function svt_chi_protocol_service_coherency_exit_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_protocol_service_coherency_entry_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_protocol_service_random_coherency_exit_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_link_service_base_sequence :: is_supported
cfg
function arg
arg type ref svt_configuration, defined in function svt_chi_system_virtual_sequencer :: get_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_prefetchtgt_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_readspec_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_readnotshareddirty_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_writecleanptl_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_stashoncesepunique_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_stashoncesepshared_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_writeuniquefullstash_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_stashonceunique_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_stashonceshared_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_cleansharedpersist_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_single_node_dvm_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_coherent_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_back2back_transaction_same_src_id_pattern_sequence :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_scenario_coverage_database
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_scenario_coverage
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_pmu :: new
cfg
function arg
arg type svt_configuration, defined in function svt_chi_node_pmu :: set_cfg
cfg
function arg
arg type svt_configuration, defined in function svt_chi_node_pmu :: reconfigure
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_pmu :: check_perf_metric
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_protocol :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_node_protocol_monitor :: reconfigure
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_protocol_monitor_def_cov_callback :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_protocol_monitor_issue_a_def_cov_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_node_protocol_monitor_system_checker_callback
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_protocol_monitor_system_checker_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_node_protocol_monitor_auto_read_callback
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_node_protocol_monitor_auto_read_callback :: new
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_link :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_link_monitor :: reconfigure
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_toggle_cov_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_state_cov_data_callbacks :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_state_cov_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_rn_link_monitor_def_cov_callback :: new
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_link :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_rn_link :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_rn_agent :: reconfigure
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_tlm_generic_payload_sequencer
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_rn_agent :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_protocol :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_link_monitor :: reconfigure
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_toggle_cov_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_state_cov_data_callbacks :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_state_cov_callback :: new
cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_sn_link_monitor_def_cov_data_callback
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_cov_data_callback :: new
cfg
function arg
arg type svt_chi_node_configuration, defined in function svt_chi_sn_link_monitor_def_cov_callback :: new
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_link :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_sn_agent :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_ic_sn_agent :: reconfigure
cfg
function arg
arg type svt_configuration, defined in function svt_chi_system_env :: reconfigure
cfg
function arg
arg type svt_chi_system_configuration, defined in function svt_chi_system_monitor_def_cov_data_callback :: new
cfg
function arg
arg type svt_configuration, defined in function svt_chi_interconnect_env :: reconfigure
cfg_num_outstanding_xact
function arg
arg type int, defined in function svt_chi_coverage_per_src_id_container :: new
change_dynamic_cfg
function
function, defined in class svt_component,  returns type void
change_dynamic_cfg
function
function, defined in class svt_driver,  returns type void
change_dynamic_cfg
function
function, defined in class svt_monitor,  returns type void
change_dynamic_cfg
function
function, defined in class svt_sequencer,  returns type void
change_dynamic_cfg
function
function, defined in class svt_agent,  returns type void
change_dynamic_cfg
function
function, defined in class svt_env,  returns type void
change_dynamic_cfg
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type void
change_dynamic_cfg
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type void
change_dynamic_cfg
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type void
change_dynamic_cfg
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type void
change_dynamic_cfg
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_component,  returns type void
change_static_cfg
function
function, defined in class svt_driver,  returns type void
change_static_cfg
function
function, defined in class svt_monitor,  returns type void
change_static_cfg
function
function, defined in class svt_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_agent,  returns type void
change_static_cfg
function
function, defined in class svt_env,  returns type void
change_static_cfg
function
function, defined in class svt_chi_rn_transaction_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_chi_sn_transaction_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_chi_ic_sn_transaction_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_chi_rn_snoop_transaction_sequencer,  returns type void
change_static_cfg
function
function, defined in class svt_chi_ic_sn_snoop_transaction_sequencer,  returns type void
change_type
function arg
arg type svt_sequence_item_base_queue_iter :: change_type_enum, defined in function svt_sequence_item_base_queue_iter :: queue_changed
change_type_enum
enum typedef
defined in class svt_sequence_item_base_queue_iter
channel
attribute
attribute type string, defined in class svt_pa_object_data
channel
function arg
arg type string, defined in function svt_pa_object_data :: new
channel
function arg
arg type string, defined in function svt_vip_writer :: set_object_channel
channel
function arg
arg type string, defined in function svt_sequence_item_base :: get_xml_object_block_desc
channel
function arg
arg type string, defined in function svt_sequence_item_base :: get_pa_obj_data
channel
function arg
arg type string, defined in function svt_sequence_item :: get_pa_obj_data
channel
function arg
arg type string, defined in function svt_chi_system_monitor_system_data :: get_pa_obj_data
channel_id
attribute
attribute type int, defined in class svt_chi_flit
channel_id
function arg
arg type int, defined in function svt_chi_rn_link_monitor_callback :: link_activity_cb
channel_id
function arg
arg type int, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: link_activity_cb
channel_id
function arg
arg type int, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: cov_sample_link_activity
channel_id
function arg
arg type int, defined in function svt_chi_rn_link_monitor_def_cov_callback :: cov_sample_link_activity
check
function
function, defined in class uvm_component,  returns type void
check
function
function, defined in class uvm_spell_chkr,  returns type bit
check
function arg
arg type uvm_check_e, defined in function uvm_reg_field :: set_compare
check
task arg
arg type input uvm_check_e, defined in task uvm_reg_field :: mirror
check
task arg
arg type input uvm_check_e, defined in task uvm_reg :: mirror
check
task arg
arg type input uvm_check_e, defined in task uvm_reg_block :: mirror
check
task arg
arg type input uvm_check_e, defined in task uvm_reg_sequence :: mirror_reg
check
task arg
arg type input uvm_check_e, defined in task uvm_reg_indirect_data :: mirror
check
function arg
arg type uvm_check_e, defined in function uvm_reg_fifo :: set_compare
check
function arg
arg type svt_err_check, defined in function svt_timer :: new
check
function arg
arg type svt_err_check, defined in function svt_timer :: init
check
function arg
arg type svt_err_check, defined in function svt_triggered_timer :: new
check
function arg
arg type svt_err_check_stats, defined in function svt_chi_system_monitor_callback :: pre_check_execute
check
function arg
arg type svt_err_check_stats, defined in function svt_chi_system_monitor_callback :: pre_check_execute_orphaned_snp
check_active_monitoring
function
function, defined in class svt_amba_perf_calc_base,  returns type void
check_begin_time
function arg
arg type bit, defined in function svt_vip_writer :: get_object_from_uid
check_catcher_exists
function
function, defined in class svt_err_check,  returns type void
check_catcher_needed
function
function, defined in class svt_err_check,  returns type void
check_config_usage
function
function, defined in class uvm_component,  returns type void
check_data_width
function
function, defined in class uvm_reg_block,  returns type bit
check_domain_inclusion
function
function, defined in class svt_chi_system_configuration,  returns type bit
check_domain_inclusion
function
function, defined in class svt_chi_address_configuration,  returns type bit
check_duration
function arg
arg type bit, defined in function svt_amba_perf_calc_base :: check_active_monitoring
check_exclusive_memory
function
function, defined in class svt_chi_exclusive_monitor,  returns type void
check_exclusive_same_lpid
function
function, defined in class svt_chi_exclusive_monitor,  returns type void
check_exclusive_sequence_validity
task
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence
check_exclusive_snoop_overlap
task
defined in class svt_chi_exclusive_monitor
check_fifo_fill_level
function
function, defined in class svt_fifo_rate_control,  returns type bit
check_fifo_fill_level
function
function, defined in class svt_amba_fifo_rate_control,  returns type bit
check_fwded_rd_data_resp
function arg
arg type bit, defined in function svt_chi_snoop_transaction :: get_resperr_status
check_handle_kind
function
function, defined in class uvm_recorder,  returns type integer
check_id_str
attribute
attribute type protected string, defined in class svt_err_check_stats
check_id_str
function arg
arg type string, defined in function svt_err_check_stats :: new
check_init_cachestate_is_valid
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type bit
check_inst_override_exists
function
function, defined in class uvm_factory,  returns type bit
check_iter_level
function
function, defined in class svt_sequence_item_iter,  returns type bit
check_mem
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type void
check_msg_0
function arg
arg type string, defined in function svt_chi_node_pmu :: check_perf_metric
check_msg_1
function arg
arg type string, defined in function svt_chi_node_pmu :: check_perf_metric
check_packer_max_bytes
function
function, defined in class svt_configuration,  returns type void
check_perf_metric
function
function, defined in class svt_chi_node_pmu,  returns type void
check_ph
attribute
attribute type uvm_phase, defined in global
check_phase
function
function, defined in class uvm_component,  returns type void
check_phase
function
function, defined in class uvm_reg_predictor,  returns type void
check_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
check_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
check_port_connections
function
function, defined in class uvm_push_driver,  returns type void
check_pre_barrier_and_post_barrier_xact_contents
task
defined in class svt_chi_system_barrier_sequence
check_pulled_rd_data_resp
function arg
arg type bit, defined in function svt_chi_snoop_transaction :: get_resperr_status
check_reg
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type void
check_registration
function
function, defined in class uvm_callbacks_base,  returns type bit
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: register_check
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: unregister_check
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: enable_check
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: disable_check
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: find_check
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: execute
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: execute_stats
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: pass
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: fail
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: filter_error
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: filter_after_n_fails
check_stats
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: get_check_msg
check_type
attribute
attribute type bit, defined in class uvm_comparer
checks
attribute
attribute type protected svt_err_check_stats, defined in class svt_err_check
checks
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: report_check_info
checks
function arg
arg type svt_err_check_stats, defined in function svt_err_check :: report_check_stats
chi0_rn_seq
attribute
attribute type svt_chi_rn_read_type_transaction_directed_sequence, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence
chi0_rn_seq
attribute
attribute type svt_chi_rn_exclusive_access_sequence, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence
chi0_rn_seq
attribute
attribute type svt_chi_rn_read_type_transaction_directed_sequence, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence
chi_A_node_present_in_system
function
function, defined in class svt_chi_system_configuration,  returns type bit
chi_b_cov_initial_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_system_monitor_def_cov_data_callback
chi_b_cov_xact_type_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
chi_B_or_before_node_present_in_system
function
function, defined in class svt_chi_system_configuration,  returns type bit
chi_cov_dat_flit_observed_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_memattr_snpattr_likelyshared_order_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_memattr_snpattr_likelyshared_order_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
chi_cov_rsp_flit_observed_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_snp_data_resp_err_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_snp_resp_err_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_snpdata_be_pattern
attribute
attribute type protected svt_chi_common_transaction :: byte_enable_pattern_enum, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_write_dat_be_pattern
attribute
attribute type protected svt_chi_common_transaction :: byte_enable_pattern_enum, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_cov_write_dat_data_pattern
attribute
attribute type protected svt_chi_common_transaction :: data_pattern_enum, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
chi_coverage_per_src_id_container
attribute
attribute type svt_chi_coverage_per_src_id_container, defined in class svt_chi_node_protocol_monitor_def_cov_callback
chi_data_format_enum
enum typedef
defined in class svt_chi_node_configuration
CHI_E_WAIT_FOR_DBID_RESPONSE_TO_RN_XACT
macro
 
CHI_ENABLE_PROTOCOL_CHECK_COV
macro
 
chi_flit_valid_ranges
constraint
defined in class svt_chi_flit
chi_flitpend_assertion_policy_enum
enum typedef
defined in class svt_chi_node_configuration
chi_ic_if
attribute
attribute type svt_chi_vif, defined in class svt_chi_interconnect_configuration
CHI_IC_RN_IF
interface typedef
defined in global
CHI_IC_SN_IF
interface typedef
defined in global
chi_ic_sn_transaction_exclusive_access_valid_ranges
constraint
defined in class svt_chi_ic_sn_transaction
chi_ic_sn_transaction_reasonable_resp_err_status
constraint
defined in class svt_chi_ic_sn_transaction
chi_ic_sn_transaction_unsupported_features_random_retry_resp
constraint
defined in class svt_chi_ic_sn_transaction
chi_ic_sn_transaction_valid_ranges
constraint
defined in class svt_chi_ic_sn_transaction
chi_ic_sn_transaction_valid_resp_ranges
constraint
defined in class svt_chi_ic_sn_transaction
chi_if
attribute
attribute type svt_chi_vif, defined in class svt_chi_system_configuration
chi_if
function arg
arg type svt_chi_vif, defined in function svt_chi_system_configuration :: set_if
chi_if
function arg
arg type svt_chi_vif, defined in function svt_chi_interconnect_configuration :: set_ic_if
chi_interconnect
function arg
arg type svt_chi_interconnect, defined in function svt_chi_interconnect_callback :: post_input_port_get
chi_interconnect
function arg
arg type svt_chi_interconnect, defined in function svt_chi_interconnect_callback :: pre_output_port_put
chi_interconnect
function arg
arg type svt_chi_interconnect, defined in function svt_chi_interconnect_callback :: post_slave_xact_gen
chi_interface_type
attribute
attribute type rand svt_chi_node_configuration :: chi_interface_type_enum, defined in class svt_chi_node_configuration
chi_interface_type_enum
enum typedef
defined in class svt_chi_node_configuration
chi_layer_enum
enum typedef
defined in class svt_chi_system_configuration
chi_mem_update_on_reset_policy
attribute
attribute type chi_memory_update_on_reset_policy_enum, defined in class svt_chi_system_configuration
chi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
chi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_chi_rn_link_monitor_def_state_cov_callback
chi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
chi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_chi_sn_link_monitor_def_state_cov_callback
chi_node_configuration_limitation_list
constraint
defined in class svt_chi_node_configuration
chi_node_perf_metric
function arg
arg type svt_chi_node_perf_status :: chi_node_perf_metric_enum, defined in function svt_chi_node_perf_status :: get_perf_metric
chi_node_perf_metric_enum
enum typedef
defined in class svt_chi_node_perf_status
chi_node_type
attribute
attribute type svt_chi_node_configuration :: chi_node_type_enum, defined in class svt_chi_node_configuration
chi_node_type_enum
enum typedef
defined in class svt_chi_node_configuration
CHI_NON_SECURE
enum value
member of svt_chi_common_transaction :: physical_addr_space_type_enum
chi_reasonable_exp_comp_ack
constraint
defined in class svt_chi_rn_transaction
chi_reasonable_flitpend_flitv_vc_delays
constraint
defined in class svt_chi_common_transaction
chi_reasonable_send_snprespdataptl_for_data_transfer
constraint
defined in class svt_chi_snoop_transaction
chi_reasonable_suspend_wr_data
constraint
defined in class svt_chi_rn_transaction
chi_reasonable_tx_flit_delay
constraint
defined in class svt_chi_flit
chi_reasonable_tx_flitpend_flitv_delay
constraint
defined in class svt_chi_flit
chi_reg_trans
attribute
attribute type svt_chi_rn_reg_transaction, defined in class svt_chi_reg_adapter
chi_regmodel
attribute
attribute type uvm_reg_block, defined in class svt_chi_rn_agent
chi_reordering_algorithm_enum
enum typedef
defined in class svt_chi_node_configuration
chi_rn_barrier_directed_sequence
class
 
chi_rn_barrier_directed_virtual_sequence
class
 
chi_rn_directed_noncoherent_xact_sequence
class
 
chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container
attribute
attribute type svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container, defined in class svt_chi_system_monitor_def_cov_callback
chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
attribute
attribute type svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container, defined in class svt_chi_system_monitor_def_cov_callback
CHI_RN_IF
interface typedef
defined in global
chi_rn_noncoherent_transaction_base_sequence
class
 
chi_rn_seq
attribute
attribute type svt_chi_rn_transaction_dvm_write_semantic_sequence, defined in class svt_chi_rn_transaction_dvm_sync_sequence
chi_rn_seq_with_random_lpid
attribute
attribute type svt_chi_rn_transaction_dvm_write_semantic_sequence, defined in class svt_chi_rn_transaction_dvm_sync_sequence
chi_rn_seq_write
attribute
attribute type svt_chi_rn_exclusive_access_sequence, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence
chi_rn_transaction_limitation_list
constraint
defined in class svt_chi_rn_transaction
chi_rn_transaction_sequencer
function arg
arg type svt_chi_rn_transaction_sequencer, defined in function svt_chi_rn_transaction_sequencer_callback :: post_tlm_gp_to_chi_mapping
chi_rn_transaction_valid_node_idx_cst
constraint
defined in class svt_chi_rn_transaction
chi_rn_transaction_valid_ranges
constraint
defined in class svt_chi_rn_transaction
CHI_SECURE
enum value
member of svt_chi_common_transaction :: physical_addr_space_type_enum
CHI_SN_IF
interface typedef
defined in global
chi_sn_mem
attribute
attribute type svt_chi_memory, defined in class svt_chi_sn_transaction_base_sequence
chi_sn_mem
attribute
attribute type svt_chi_memory, defined in class svt_chi_sn_agent
chi_sn_transaction_delays_size_valid_ranges
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_reasonable_resp_err_status
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_retry_resp_valid_ranges
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_unsupported_features_random_retry_resp
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_valid_ranges
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_valid_replicated_channel_related_constraint
constraint
defined in class svt_chi_sn_transaction
chi_sn_transaction_valid_resp_err
constraint
defined in class svt_chi_sn_transaction
chi_snoop_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_system_checker_callback
chi_snoop_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_auto_read_callback
chi_snoop_transaction_valid_ranges
constraint
defined in class svt_chi_snoop_transaction
chi_spec_revision
attribute
attribute type svt_chi_node_configuration :: chi_spec_revision_enum, defined in class svt_chi_node_configuration
chi_spec_revision
attribute
attribute type svt_chi_node_configuration :: chi_spec_revision_enum, defined in class svt_chi_interconnect_configuration
chi_spec_revision_enum
enum typedef
defined in class svt_chi_node_configuration
chi_suspend_resume_status_enum
enum typedef
defined in class svt_chi_common_transaction
chi_trace_file_gen_policy
attribute
attribute type chi_trace_file_gen_policy_enum, defined in class svt_chi_system_configuration
chi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_system_checker_callback
chi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_auto_read_callback
chi_transaction_valid_ranges
constraint
defined in class svt_chi_transaction
chi_valid_ranges_suspend_wr_data
constraint
defined in class svt_chi_rn_transaction
chi_xact_q
function arg
arg type ref svt_chi_rn_transaction, defined in function svt_chi_rn_transaction_sequencer_callback :: post_tlm_gp_to_chi_mapping
child
function arg
arg type uvm_component, defined in function uvm_component :: m_add_child
child
function arg
arg type uvm_component, defined in function uvm_root :: m_add_child
child
function arg
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: is_child
child_map
function arg
arg type uvm_reg_map, defined in function uvm_reg_map :: add_submap
child_object_uid
function arg
arg type string, defined in function svt_vip_writer :: add_object_child
child_object_uids
function arg
arg type string, defined in function svt_vip_writer :: add_object_children
children
function arg
arg type ref uvm_component, defined in function uvm_component :: get_children
children_array
attribute
attribute type protected bit, defined in class uvm_sequence_base
chkr
function arg
arg type svt_chi_system_err_check, defined in function svt_chi_exclusive_monitor :: new
class_name
function arg
arg type string, defined in function svt_sequence_item_base :: get_timeunit_str
class_name
function arg
arg type string, defined in function svt_sequence_item :: get_recycled_xact
class_name
function arg
arg type string, defined in function svt_sequence_item :: find_recycled_xact
CLEANINVALID
enum value
member of svt_chi_common_transaction :: xact_type_enum
CLEANINVALID_ABF
enum value
member of svt_chi_hn_status :: address_based_flush_policy_enum
cleaninvalid_associated_comp_response_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleaninvalid_associated_comp_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleaninvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
cleaninvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
CLEANSHARED
enum value
member of svt_chi_common_transaction :: xact_type_enum
CLEANSHARED_ABF
enum value
member of svt_chi_hn_status :: address_based_flush_policy_enum
cleanshared_associated_comp_response_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleanshared_associated_comp_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleanshared_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
cleanshared_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
CLEANUNIQUE
enum value
member of svt_chi_common_transaction :: xact_type_enum
cleanunique_associated_comp_response_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleanunique_associated_comp_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cleanunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
cleanunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
clear
function
function, defined in class uvm_copy_map,  returns type void
clear
function
function, defined in class uvm_objection,  returns type void
clear
function
function, defined in class uvm_phase,  returns type void
clear
function
function, defined in class uvm_objection_context_object,  returns type void
clear
function
function, defined in class svt_sequence_item,  returns type void
clear
function
function, defined in class svt_mem,  returns type void
clear
function
function, defined in class svt_chi_memory,  returns type void
clear_dynamic_err_checks
function
function, defined in class svt_err_check,  returns type void
clear_extension
function
function, defined in class uvm_tlm_generic_payload,  returns type void
clear_extensions
function
function, defined in class uvm_tlm_generic_payload,  returns type void
clear_hdl_path
function
function, defined in class uvm_reg,  returns type void
clear_hdl_path
function
function, defined in class uvm_reg_file,  returns type void
clear_hdl_path
function
function, defined in class uvm_reg_block,  returns type void
clear_hdl_path
function
function, defined in class uvm_mem,  returns type void
clear_implementation
function
function, defined in class svt_sequence_item,  returns type void
clear_response_queue
function
function, defined in class uvm_sequence_base,  returns type void
clear_successors
function
function, defined in class uvm_phase,  returns type void
clear_summary
function
function, defined in class svt_sequence_item_report,  returns type void
clear_summary
function
function, defined in class svt_chi_node_protocol_monitor_transaction_report_callback,  returns type void
clear_summary
function
function, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback,  returns type void
clear_summary
function
function, defined in class svt_chi_rn_link_monitor_transaction_report_callback,  returns type void
clear_summary
function
function, defined in class svt_chi_sn_link_monitor_transaction_report_callback,  returns type void
clear_trace
function
function, defined in class svt_sequence_item,  returns type void
client
function arg
arg type uvm_report_object, defined in function uvm_report_handler :: run_hooks
client
function arg
arg type uvm_report_object, defined in function uvm_report_handler :: report
client
function arg
arg type uvm_report_object, defined in function uvm_report_server :: report
client
function arg
arg type uvm_report_object, defined in function uvm_report_server :: process_report
client
function arg
arg type input uvm_report_object, defined in function uvm_report_catcher :: process_all_report_catchers
client
function arg
arg type output uvm_report_object, defined in function uvm_sequence_item :: m_get_client_info
client
function arg
arg type uvm_report_object, defined in function uvm_root_report_handler :: report
client_ns
function arg
arg type longint, defined in function svt_timer :: calibrate
clk
interface attribute
defined in interface svt_chi_rn_if,
clk
interface attribute
defined in interface svt_chi_sn_if,
clk
interface attribute
defined in interface svt_chi_ic_rn_if,
clk
interface attribute
defined in interface svt_chi_ic_sn_if,
clk
interface attribute
defined in interface svt_chi_if,
clone
function
function, defined in class uvm_object,  returns type uvm_object
clone
function arg
arg type bit, defined in function uvm_object :: set_object_local
clone
attribute
attribute type bit, defined in class uvm_status_container
clone
function arg
arg type bit, defined in function uvm_component :: set_config_object
clone
function arg
arg type input bit, defined in function uvm_component :: get_config_object
clone
function
function, defined in class uvm_component,  returns type uvm_object
clone
attribute
attribute type bit, defined in class uvm_config_object_wrapper
clone
function
function, defined in class uvm_built_in_clone,  returns type T
clone
function
function, defined in class uvm_class_clone,  returns type uvm_object
clone
function
function, defined in class uvm_reg_field,  returns type uvm_object
clone
function
function, defined in class uvm_vreg_field,  returns type uvm_object
clone
function
function, defined in class uvm_reg,  returns type uvm_object
clone
function
function, defined in class uvm_reg_file,  returns type uvm_object
clone
function
function, defined in class uvm_vreg,  returns type uvm_object
clone
function
function, defined in class uvm_reg_block,  returns type uvm_object
clone
function
function, defined in class uvm_mem,  returns type uvm_object
clone
function
function, defined in class uvm_reg_map,  returns type uvm_object
clone
function arg
arg type bit, defined in function glboal :: set_config_object
close_writer
function
function, defined in class svt_vip_writer,  returns type bit
clp
attribute
attribute type uvm_cmdline_processor, defined in class uvm_root
CMO
enum value
member of svt_chi_transaction :: xact_category_enum
cmo_forwarded_port_ids
attribute
attribute type int, defined in class svt_chi_system_transaction
cmo_types
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_multi_node_random_virtual_sequence
cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
cnt
attribute
attribute type int, defined in class uvm_heartbeat_callback
cntxt
function arg
arg type uvm_component, defined in function uvm_config_db :: get
cntxt
function arg
arg type uvm_component, defined in function uvm_config_db :: set
cntxt
function arg
arg type uvm_component, defined in function uvm_config_db :: exists
cntxt
task arg
arg type uvm_component, defined in task uvm_config_db :: wait_modified
cntxt
function arg
arg type uvm_component, defined in function uvm_heartbeat :: new
coh_addrs
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_multi_node_random_virtual_sequence
COHERENCY_ENTRY
enum value
member of svt_chi_protocol_service :: service_type_enum
COHERENCY_EXIT
enum value
member of svt_chi_protocol_service :: service_type_enum
coherency_exit_svc_req_max_delay
attribute
attribute type int unsigned, defined in class svt_chi_protocol_service_random_coherency_exit_sequence
coherency_exit_svc_req_min_delay
attribute
attribute type int unsigned, defined in class svt_chi_protocol_service_random_coherency_exit_sequence
COHERENT
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_transaction_type_enum
coherent_and_snoop_data_match_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_copyback_copyback_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_copyback_read_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_copyback_read_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_copyback_write_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_copyback_write_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_exclusive_access_from_rni_rnd_ports_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
coherent_non_atomic_xact_on_rn_f_port1
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_non_atomic_xact_on_rn_f_port1
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container
coherent_non_atomic_xact_on_rn_f_port2
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_non_atomic_xact_on_rn_f_port2
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container
coherent_non_atomic_xact_on_rn_f_ports
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_read_copyback_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_read_copyback_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_read_read_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_read_read_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_read_write_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_read_write_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_req_compack_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_resp_isshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_resp_passdirty_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_resp_start_conditions_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_response_start_time_for_snoopable_req
attribute
attribute type realtime, defined in class svt_chi_system_transaction
coherent_seq
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
coherent_snoop_domain_match_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_snoop_type_match_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_transactions_sent_from_rn_process_completed
attribute
attribute type protected bit, defined in class svt_chi_system_coherent_virtual_sequence
coherent_write_copyback_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_write_copyback_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_write_read_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_write_read_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_write_write_hazard_after_slave_xact_association_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_write_write_hazard_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
coherent_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_system_monitor_callback :: pre_chi_coherent_and_snoop_transaction_association
coherent_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_system_monitor_callback :: post_chi_coherent_and_snoop_transaction_association
coherent_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_coherent_and_snoop_transaction_association
coherent_xact_on_rn_f_port1
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_xact_on_rn_f_port2
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_xact_on_rn_f_ports
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_xact_on_rn_f_ports
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
coherent_xact_on_rn_i_ports
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
coherent_xact_on_rn_i_ports
attribute
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
coherent_xact_with_no_snoop_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
collect_perf_stats
function
function, defined in class svt_chi_node_pmu,  returns type void
collected_perf_stats
attribute
attribute type bit, defined in class svt_amba_perf_rec_base
collision
function
function, defined in class svt_exception,  returns type int
collision
function
function, defined in class svt_chi_common_transaction_exception,  returns type int
collision
function
function, defined in class svt_chi_base_transaction_exception,  returns type int
collision
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type int
collision
function
function, defined in class svt_chi_transaction_exception,  returns type int
collision
function
function, defined in class svt_chi_flit_exception,  returns type int
collision
function
function, defined in class svt_chi_rn_transaction_exception,  returns type int
collision
function
function, defined in class svt_chi_sn_transaction_exception,  returns type int
COMBINED_COMPDBIDRESP
enum value
member of svt_chi_transaction :: comp_dbid_responses_order_enum
COMBINED_COMPPERSIST
enum value
member of svt_chi_transaction :: comp_persist_responses_order_enum
COMBINED_COMPSTASHDONE
enum value
member of svt_chi_transaction :: comp_stashdone_responses_order_enum
command
function arg
arg type uvm_tlm_command_e, defined in function uvm_tlm_generic_payload :: set_command
common
attribute
attribute type svt_chi_protocol_common, defined in class svt_chi_node_pmu
common
function arg
arg type svt_chi_protocol_common, defined in function svt_chi_node_pmu :: set_common
common
attribute
attribute type svt_chi_link_common, defined in class svt_chi_link_txla_fsm
common
attribute
attribute type svt_chi_link_common, defined in class svt_chi_link_rxla_fsm
common_xact
function arg
arg type svt_chi_common_transaction, defined in function svt_chi_transaction :: print_pending_flits
comp
function arg
arg type uvm_component, defined in function uvm_component :: print_config_settings
comp
function arg
arg type input uvm_component, defined in function uvm_root :: find_all
comp
function arg
arg type input uvm_component, defined in function uvm_root :: m_find_all_recurse
comp
function arg
arg type uvm_component, defined in function uvm_utils :: get_config
comp
function arg
arg type uvm_component, defined in function uvm_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_phase :: traverse
comp
function arg
arg type uvm_component, defined in function uvm_phase :: execute
comp
task arg
arg type uvm_component, defined in task uvm_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_test_done_objection :: m_do_stop_all
comp
function arg
arg type uvm_component, defined in function uvm_task_phase :: traverse
comp
function arg
arg type uvm_component, defined in function uvm_task_phase :: m_traverse
comp
function arg
arg type uvm_component, defined in function uvm_task_phase :: execute
comp
function arg
arg type uvm_component, defined in function uvm_build_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_connect_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_end_of_elaboration_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_start_of_simulation_phase :: exec_func
comp
task arg
arg type uvm_component, defined in task uvm_run_phase :: exec_task
comp
function arg
arg type uvm_component, defined in function uvm_extract_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_check_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_report_phase :: exec_func
comp
function arg
arg type uvm_component, defined in function uvm_final_phase :: exec_func
comp
task arg
arg type uvm_component, defined in task uvm_pre_reset_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_reset_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_post_reset_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_pre_configure_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_configure_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_post_configure_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_pre_main_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_main_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_post_main_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_pre_shutdown_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_shutdown_phase :: exec_task
comp
task arg
arg type uvm_component, defined in task uvm_post_shutdown_phase :: exec_task
comp
function arg
arg type uvm_component, defined in function uvm_bottomup_phase :: traverse
comp
function arg
arg type uvm_component, defined in function uvm_bottomup_phase :: execute
comp
function arg
arg type uvm_component, defined in function uvm_topdown_phase :: traverse
comp
function arg
arg type uvm_component, defined in function uvm_topdown_phase :: execute
comp
function arg
arg type uvm_component, defined in function uvm_heartbeat :: add
comp
function arg
arg type uvm_component, defined in function uvm_heartbeat :: remove
comp
function
function, defined in class uvm_built_in_comp,  returns type bit
comp
function
function, defined in class uvm_class_comp,  returns type bit
comp
function
function, defined in class svt_sequence_item,  returns type bit
comp
attribute
attribute type uvm_component, defined in class svt_uvm_cmd_assistant
COMP
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
comp_comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item :: set_comp_comparer
comp_dbid_responses_order
attribute
attribute type svt_chi_transaction :: comp_dbid_responses_order_enum, defined in class svt_chi_transaction
comp_dbid_responses_order_enum
enum typedef
defined in class svt_chi_transaction
COMP_DBIDRESP
enum value
member of svt_chi_transaction :: comp_dbid_responses_order_enum
COMP_DBIDRESPORD
enum value
member of svt_chi_transaction :: comp_dbid_responses_order_enum
comp_match
function arg
arg type string, defined in function uvm_root :: find
comp_match
function arg
arg type string, defined in function uvm_root :: find_all
comp_match
function arg
arg type string, defined in function uvm_root :: m_find_all_recurse
comp_path
attribute
attribute type string, defined in class uvm_cmd_line_verb
COMP_PERSIST
enum value
member of svt_chi_transaction :: comp_persist_responses_order_enum
comp_persist_responses_order_enum
enum typedef
defined in class svt_chi_transaction
comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
comp_reporter
function arg
arg type uvm_component, defined in function svt_err_check :: set_component_reporter
COMP_STASHDONE
enum value
member of svt_chi_transaction :: comp_stashdone_responses_order_enum
comp_stashdone_responses_order_enum
enum typedef
defined in class svt_chi_transaction
comp_to_dbid_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
COMPACK
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
COMPACK_ASSERTION_TO_NEXT_COMPACK_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
compack_follows_readreceipt
attribute
attribute type rand bit, defined in class svt_chi_rn_transaction
compare
function
function, defined in class uvm_object,  returns type bit
compare_field
function
function, defined in class uvm_comparer,  returns type bit
compare_field_int
function
function, defined in class uvm_comparer,  returns type bit
compare_field_real
function
function, defined in class uvm_comparer,  returns type bit
compare_field_real
function
function, defined in class svt_fuzzy_real_comparer,  returns type bit
compare_map
attribute
attribute type uvm_copy_map, defined in class uvm_comparer
compare_object
function
function, defined in class uvm_comparer,  returns type bit
compare_pattern_data
function
function, defined in class svt_sequence_item_base,  returns type bit
compare_string
function
function, defined in class uvm_comparer,  returns type bit
compare_via_pattern
function
function, defined in class svt_sequence_item_base,  returns type bit
comparer
function arg
arg type uvm_comparer, defined in function uvm_object :: compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_object :: do_compare
comparer
attribute
attribute type uvm_comparer, defined in class uvm_status_container
comparer
function arg
arg type uvm_comparer, defined in function uvm_class_pair :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_built_in_pair :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_random_sequence :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_exhaustive_sequence :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_tlm_generic_payload :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_reg_field :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_vreg_field :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_reg :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_reg_file :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_vreg :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_reg_block :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function uvm_mem :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item_base :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item_base :: auto_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item_base :: compare_pattern_data
comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item_base :: resolve_compare_kind
comparer
function arg
arg type uvm_comparer, defined in function svt_exception :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_exception_list :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_sequence_item :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_8b10b_data :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_mem :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_fifo_rate_control_configuration :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_traffic_profile_transaction :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_amba_addr_mapper :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_amba_fifo_rate_control_configuration :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_axi_cache :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_chi_address_configuration :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_chi_protocol_service :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_chi_link_service :: do_compare
comparer
function arg
arg type uvm_comparer, defined in function svt_chi_system_monitor_system_data :: do_compare
COMPDATA
enum value
member of svt_chi_common_transaction :: dat_msg_type_enum
COMPDBIDRESP
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
compl
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_dvm_completion
COMPLETE
enum value
member of svt_sequence_item_base :: kind_enum
complete
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_response_array_complete
component
function arg
arg type uvm_component, defined in function svt_callback :: startup
component
function arg
arg type uvm_component, defined in function svt_callback :: extract
component
function arg
arg type output string, defined in function svt_traffic_arbiter :: get_traffic_profile
component
function arg
arg type uvm_component, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: startup
compose_message
function
function, defined in class uvm_report_server,  returns type string
composed_message
function arg
arg type string, defined in function uvm_report_server :: process_report
comps
function arg
arg type ref uvm_component, defined in function uvm_root :: find_all
comps
function arg
arg type ref uvm_component, defined in function uvm_root :: m_find_all_recurse
comps
function arg
arg type ref uvm_component, defined in function uvm_heartbeat :: set_heartbeat
compute_tagged_addr_bit_based_on_non_secure_attribute
function
function, defined in class svt_chi_system_configuration,  returns type bit [SVT_CHI_TAG_ADDR_WIDTH-1:0]
concat
function arg
arg type uvm_hdl_path_concat, defined in function glboal :: uvm_hdl_concat2string
configure
function
function, defined in class uvm_reg_field,  returns type void
configure
function
function, defined in class uvm_vreg_field,  returns type void
configure
function
function, defined in class uvm_reg,  returns type void
configure
function
function, defined in class uvm_reg_file,  returns type void
configure
function
function, defined in class uvm_vreg,  returns type void
configure
function
function, defined in class uvm_reg_block,  returns type void
configure
function
function, defined in class uvm_mem,  returns type void
configure
function
function, defined in class uvm_reg_map,  returns type void
configure
function
function, defined in class uvm_reg_indirect_data,  returns type void
configure_phase
task
defined in class uvm_component
connect
function
function, defined in class uvm_component,  returns type void
connect
function
function, defined in class uvm_port_base,  returns type void
connect
function
function, defined in class uvm_tlm_b_initiator_socket,  returns type void
connect
function
function, defined in class uvm_tlm_b_target_socket,  returns type void
connect
function
function, defined in class uvm_tlm_nb_initiator_socket,  returns type void
connect
function
function, defined in class uvm_tlm_nb_target_socket,  returns type void
connect
function
function, defined in class uvm_tlm_b_passthrough_initiator_socket,  returns type void
connect
function
function, defined in class uvm_tlm_b_passthrough_target_socket,  returns type void
connect
function
function, defined in class uvm_tlm_nb_passthrough_initiator_socket,  returns type void
connect
function
function, defined in class uvm_tlm_nb_passthrough_target_socket,  returns type void
connect_ph
attribute
attribute type uvm_phase, defined in global
connect_phase
function
function, defined in class uvm_component,  returns type void
connect_phase
function
function, defined in class uvm_tlm_req_rsp_channel,  returns type void
connect_phase
function
function, defined in class uvm_in_order_comparator,  returns type void
connect_phase
function
function, defined in class uvm_algorithmic_comparator,  returns type void
connect_phase
function
function, defined in class uvm_sequencer_param_base,  returns type void
connect_phase
function
function, defined in class svt_agent,  returns type void
connect_phase
function
function, defined in class svt_env,  returns type void
connect_phase
function
function, defined in class svt_chi_rn_protocol,  returns type void
connect_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_rn_link,  returns type void
connect_phase
function
function, defined in class svt_chi_rn_link_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_sn_link,  returns type void
connect_phase
function
function, defined in class svt_chi_ic_rn_link,  returns type void
connect_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
connect_phase
function
function, defined in class svt_chi_ic_rn_agent,  returns type void
connect_phase
function
function, defined in class svt_chi_sn_protocol,  returns type void
connect_phase
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_sn_link_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_ic_sn_link,  returns type void
connect_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
connect_phase
function
function, defined in class svt_chi_ic_sn_agent,  returns type void
connect_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
connect_phase
function
function, defined in class svt_chi_system_env,  returns type void
connect_phase
function
function, defined in class svt_chi_interconnect_env,  returns type void
CONSECUTIVE_EXCLUSIVE_LOAD_FOLLOWED_BY_CONSECUTIVE_EXCLUSIVE_STORES
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
CONSECUTIVE_EXCLUSIVE_LOAD_FOLLOWED_BY_CONSECUTIVE_ORDERED_EXCLUSIVE_STORES
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
const_cfg_advertised_curr_l_credit_high_range_start_value
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_advertised_curr_l_credit_medium_range_start_value
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_async_input_banned_output_race_link_active_states_timeout
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_cov_max_num_back2back_cycles_protocol_flitv_assertion
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_link_activity_max_delay_count
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_max_num_clock_cycles_speculative_sactive_signal_asserted
attribute
attribute type const int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
const_cfg_num_non_dvm_outstanding_snoop_xact
attribute
attribute type const int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
const_cfg_num_outstanding_xact
attribute
attribute type const int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
const_cfg_num_outstanding_xact
attribute
attribute type const int, defined in class svt_chi_coverage_per_src_id_container
const_hn_f_idx_q_size
attribute
attribute type const int, defined in class svt_chi_system_monitor_def_cov_callback
const_sys_cfg_num_hn
attribute
attribute type const int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
const_sys_cfg_num_hn
attribute
attribute type const int, defined in class svt_chi_system_monitor_def_cov_data_callback
const_sys_cfg_num_rn
attribute
attribute type const int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
const_sys_cfg_num_rn
attribute
attribute type const int, defined in class svt_chi_system_monitor_def_cov_data_callback
consume_from_seq_item_port
task
defined in class svt_chi_sn_protocol
consume_from_seq_item_port
task
defined in class svt_chi_interconnect
consume_from_snp_seq_item_port
task
defined in class svt_chi_interconnect
consume_from_svc_seq_item_port
task
defined in class svt_chi_sn_protocol
continuous_dispatch
task arg
arg type bit, defined in task svt_dispatch_sequence :: dispatch
controller
function arg
arg type svt_event_controller, defined in function svt_controlled_event :: new
contxt
function arg
arg type string, defined in function uvm_utils :: create_type_by_name
contxt
function arg
arg type string, defined in function uvm_component_registry :: create
contxt
function arg
arg type string, defined in function uvm_object_registry :: create
contxt
function arg
arg type uvm_component, defined in function svt_config_int_db :: set
contxt
function arg
arg type uvm_component, defined in function svt_config_int_db :: get
contxt
function arg
arg type uvm_component, defined in function svt_config_string_db :: set
contxt
function arg
arg type uvm_component, defined in function svt_config_string_db :: get
contxt
function arg
arg type uvm_component, defined in function svt_config_object_db :: set
contxt
function arg
arg type uvm_component, defined in function svt_config_object_db :: set_for_child
contxt
function arg
arg type uvm_component, defined in function svt_config_object_db :: get
contxt
function arg
arg type uvm_component, defined in function svt_config_object_db :: get_from_parent
contxt
function arg
arg type uvm_component, defined in function svt_config_vif_db :: set
contxt
function arg
arg type uvm_component, defined in function svt_config_vif_db :: get
convert2string
function
function, defined in class uvm_object,  returns type string
convert2string
function
function, defined in class uvm_objection,  returns type string
convert2string
function
function, defined in class uvm_queue,  returns type string
convert2string
function
function, defined in class uvm_resource_base,  returns type string
convert2string
function
function, defined in class uvm_resource,  returns type string
convert2string
function
function, defined in class uvm_int_rsrc,  returns type string
convert2string
function
function, defined in class uvm_string_rsrc,  returns type string
convert2string
function
function, defined in class uvm_bit_rsrc,  returns type string
convert2string
function
function, defined in class uvm_byte_rsrc,  returns type string
convert2string
function
function, defined in class uvm_phase,  returns type string
convert2string
function
function, defined in class uvm_sequencer_base,  returns type string
convert2string
function
function, defined in class uvm_class_pair,  returns type string
convert2string
function
function, defined in class uvm_built_in_pair,  returns type string
convert2string
function
function, defined in class uvm_built_in_converter,  returns type string
convert2string
function
function, defined in class uvm_class_converter,  returns type string
convert2string
function
function, defined in class uvm_tlm_generic_payload,  returns type string
convert2string
function
function, defined in class uvm_reg_field,  returns type string
convert2string
function
function, defined in class uvm_vreg_field,  returns type string
convert2string
function
function, defined in class uvm_reg,  returns type string
convert2string
function
function, defined in class uvm_reg_file,  returns type string
convert2string
function
function, defined in class uvm_vreg,  returns type string
convert2string
function
function, defined in class uvm_reg_block,  returns type string
convert2string
function
function, defined in class uvm_mem,  returns type string
convert2string
function
function, defined in class uvm_reg_item,  returns type string
convert2string
function
function, defined in class uvm_reg_map,  returns type string
convert2string
function
function, defined in class uvm_mem_region,  returns type string
convert2string
function
function, defined in class uvm_mem_mam,  returns type string
convert2string
function
function, defined in class svt_sequence_item,  returns type string
copy
function
function, defined in class uvm_object,  returns type void
copy
function
function, defined in class svt_sequence_item_base_iter,  returns type svt_sequence_item_base_iter
copy
function
function, defined in class svt_sequence_item_base_queue_iter,  returns type svt_sequence_item_base_iter
copy
function arg
arg type bit, defined in function svt_sequence_item :: store_trace
copy
function
function, defined in class svt_sequence_item_iter,  returns type svt_sequence_item_base_iter
copy_depth
function arg
arg type svt_sequence_item_base :: recursive_op_enum, defined in function svt_sequence_item :: store_trace
copy_dynamic_data
function
function, defined in class svt_configuration,  returns type void
copy_dynamic_data
function
function, defined in class svt_fifo_rate_control_configuration,  returns type void
copy_dynamic_data
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type void
copy_dynamic_data
function
function, defined in class svt_chi_hn_addr_range,  returns type void
copy_dynamic_data
function
function, defined in class svt_chi_system_domain_item,  returns type void
copy_dynamic_data
function
function, defined in class svt_chi_address_configuration,  returns type void
copy_id_counts
function
function, defined in class uvm_report_server,  returns type void
copy_implementation
function
function, defined in class svt_sequence_item,  returns type void
copy_severity_counts
function
function, defined in class uvm_report_server,  returns type void
copy_static_data
function
function, defined in class svt_configuration,  returns type void
copy_static_data
function
function, defined in class svt_fifo_rate_control_configuration,  returns type void
copy_static_data
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type void
copy_static_data
function
function, defined in class svt_chi_hn_addr_range,  returns type void
copy_static_data
function
function, defined in class svt_chi_system_domain_item,  returns type void
copy_static_data
function
function, defined in class svt_chi_address_configuration,  returns type void
copy_trace
function
function, defined in class svt_sequence_item,  returns type void
copyback_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
copyback_transaction_event
attribute
attribute type event, defined in class svt_chi_scenario_coverage_database
copyback_transaction_sequence
attribute
attribute type int, defined in class svt_chi_scenario_coverage_database
COPYBACKWRDATA
enum value
member of svt_chi_common_transaction :: dat_msg_type_enum
count
attribute
attribute type int, defined in class uvm_packer
count
attribute
attribute type int unsigned, defined in class uvm_seed_map
count
function arg
arg type int, defined in function uvm_component :: raised
count
function arg
arg type int, defined in function uvm_component :: dropped
count
task arg
arg type int, defined in task uvm_component :: all_dropped
count
function arg
arg type int, defined in function uvm_objection :: m_report
count
function arg
arg type int, defined in function uvm_objection :: m_propagate
count
function arg
arg type int, defined in function uvm_objection :: raise_objection
count
function arg
arg type int, defined in function uvm_objection :: m_raise
count
function arg
arg type int, defined in function uvm_objection :: drop_objection
count
function arg
arg type int, defined in function uvm_objection :: m_drop
count
function arg
arg type int, defined in function uvm_objection :: raised
count
function arg
arg type int, defined in function uvm_objection :: dropped
count
task arg
arg type int, defined in task uvm_objection :: m_forked_drain
count
task arg
arg type int, defined in task uvm_objection :: all_dropped
count
task arg
arg type int, defined in task uvm_objection :: wait_for_total_count
count
function arg
arg type int, defined in function uvm_phase :: raise_objection
count
function arg
arg type int, defined in function uvm_phase :: drop_objection
count
function arg
arg type int, defined in function uvm_report_server :: set_max_quit_count
count
function arg
arg type int, defined in function uvm_report_server :: set_severity_count
count
function arg
arg type int, defined in function uvm_report_server :: set_id_count
count
function arg
arg type int, defined in function uvm_test_done_objection :: raise_objection
count
function arg
arg type int, defined in function uvm_test_done_objection :: drop_objection
count
task arg
arg type int, defined in task uvm_test_done_objection :: all_dropped
count
attribute
attribute type int, defined in class uvm_sequencer_base
count
attribute
attribute type int, defined in class uvm_objection_context_object
count
function arg
arg type int, defined in function uvm_objection_callback :: raised
count
function arg
arg type int, defined in function uvm_objection_callback :: dropped
count
task arg
arg type int, defined in task uvm_objection_callback :: all_dropped
count
function arg
arg type int, defined in function uvm_callbacks_objection :: raised
count
function arg
arg type int, defined in function uvm_callbacks_objection :: dropped
count
task arg
arg type int, defined in task uvm_callbacks_objection :: all_dropped
count
function arg
arg type int, defined in function uvm_heartbeat_callback :: raised
count
function arg
arg type int, defined in function uvm_heartbeat_callback :: dropped
cov_byte_enable_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_ccid_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_chi_snpresp_resperr_response_status
attribute
attribute type protected svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_cmo_while_xacts_other_than_cacheable_reads_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_coherent_chi_e_xact_type
attribute
attribute type protected svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback
cov_coherent_xact_on_rn_f_ports
attribute
attribute type svt_chi_transaction, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
cov_coherent_xact_on_rn_i_ports
attribute
attribute type svt_chi_transaction, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
cov_copyback_req_order_enable_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_current_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_data_flit_opcode_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_data_resp_err_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_data_resp_err_status
attribute
attribute type protected svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_data_size_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_dbid_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_dvmop_message_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_dyn_p_crd_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_excl_access_status_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_excl_mon_status_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_exp_comp_ack_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_final_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_flit_data_id_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_initial_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_inter_xact_flit_pattern_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_address_aligned_to_datasize
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_exclusive_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_exclusive_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_is_link_active_flag
attribute
attribute type protected bit, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_is_retried_with_original_qos_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_retried_with_original_rsvdc_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_retried_with_original_tgt_id_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_retried_with_original_txn_id_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_is_tgt_id_remapped
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_item
attribute
attribute type protected svt_chi_transaction, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_item
attribute
attribute type protected svt_chi_link_status :: link_activation_deactivation_enum, defined in class svt_chi_sn_link_monitor_def_cov_data_callback
cov_item
attribute
attribute type protected svt_chi_transaction, defined in class svt_chi_system_monitor_def_cov_callback
cov_item
attribute
attribute type protected svt_chi_transaction, defined in class svt_chi_system_monitor_def_cov_data_callback
cov_item_link_activation_deactivation
attribute
attribute type protected svt_chi_link_status :: link_activation_deactivation_enum, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_item_link_activity_txla_rxla_state
attribute
attribute type protected svt_chi_link_status :: txla_rxla_state_enum, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_item_link_status
attribute
attribute type protected svt_chi_link_status, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_item_shared_status
attribute
attribute type protected svt_chi_status, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_item_txla_rxla_state
attribute
attribute type protected svt_chi_link_status :: txla_rxla_state_enum, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_likely_shared_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_link_activation_deactivation_flag
attribute
attribute type protected bit, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_link_activation_deactivation_flag
attribute
attribute type protected bit, defined in class svt_chi_sn_link_monitor_def_cov_data_callback
cov_link_activity_flag
attribute
attribute type protected bit, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_link_deactivation_auto_reactivation
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_lpid_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_max_num_back2back_cycles_protocol_flitv_assertion
attribute
attribute type int, defined in class svt_chi_node_configuration
cov_mem_attr_allocate_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_mem_attr_allocate_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_mem_attr_cacheable_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_mem_attr_cacheable_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_mem_attr_device_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_mem_attr_device_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_mem_attr_ewa_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_mem_attr_ewa_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_non_coherent_xact_on_rn_f_ports
attribute
attribute type svt_chi_transaction, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
cov_non_coherent_xact_on_rn_i_ports
attribute
attribute type svt_chi_transaction, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
cov_non_secure_access_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_ns_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_ns_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_num_clock_cycles_lasm_in_async_input_banned_output_race_states
attribute
attribute type protected int, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_order_type_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_others_permitted_initial_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_outstanding_snp_xact_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_override
attribute
attribute type uvm_object_wrapper, defined in class svt_err_check_stats
cov_override
function arg
arg type uvm_object_wrapper, defined in function svt_err_check_stats :: register_cov_override
cov_p_crd_type_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_qos_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_read_xacts_compdata_to_compack_delay_count_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_req_order_stream_id_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_req_status_type_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_resp_err_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_rsp_comp_resp_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_rsp_flit_opcode_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_sample
function
function, defined in class svt_chi_coverage_per_src_id_container,  returns type void
cov_sample
function
function, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container,  returns type void
cov_sample
function
function, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container,  returns type void
cov_sample_barrier_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_barrier_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_barrier_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_cache_maintenance_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_cache_maintenance_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_cache_maintenance_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_cache_maintenance_xact_parameters_chi_issue_a_to_issue_c_only
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_concurrent_xact_snp_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_concurrent_xact_snp_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_copyback_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_copyback_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_copyback_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_copyback_xact_parameters_chi_issue_a_to_issue_c_only
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_data_flit
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_data_flit
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_dvm_outstanding_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_dvm_outstanding_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_dvm_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_dvm_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_dvm_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_link_activation_deactivation
function
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,  returns type void
cov_sample_link_activation_deactivation
function
function, defined in class svt_chi_rn_link_monitor_def_cov_callback,  returns type void
cov_sample_link_activation_deactivation
function
function, defined in class svt_chi_sn_link_monitor_def_cov_data_callback,  returns type void
cov_sample_link_activation_deactivation
function
function, defined in class svt_chi_sn_link_monitor_def_cov_callback,  returns type void
cov_sample_link_activity
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_link_activity
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_link_activity
function
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,  returns type void
cov_sample_link_activity
function
function, defined in class svt_chi_rn_link_monitor_def_cov_callback,  returns type void
cov_sample_link_activity_snoop_transaction
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_link_activity_snoop_transaction
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_outstanding_dvm_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_outstanding_dvm_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_outstanding_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_outstanding_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_outstanding_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_outstanding_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_outstanding_xact_retry_resp_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_outstanding_xact_retry_resp_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_pcrdreturn_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_pcrdreturn_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_pcrdreturn_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_prot_status
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_prot_status
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_read_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_read_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_read_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_read_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_read_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_read_xact_parameters_chi_issue_a_to_issue_c_only
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_snoop_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_snoop_xact_parameters_chi_issue_a_to_issue_c_only
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_snp_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_snp_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_system_chi_concurrent_non_overlapping_coherent_xacts
function
function, defined in class svt_chi_system_monitor_def_cov_callback,  returns type void
cov_sample_system_chi_concurrent_non_overlapping_coherent_xacts
function
function, defined in class svt_chi_system_monitor_def_cov_data_callback,  returns type void
cov_sample_system_chi_concurrent_overlapping_coherent_xacts
function
function, defined in class svt_chi_system_monitor_def_cov_callback,  returns type void
cov_sample_system_chi_concurrent_overlapping_coherent_xacts
function
function, defined in class svt_chi_system_monitor_def_cov_data_callback,  returns type void
cov_sample_txla_rxla_state
function
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,  returns type void
cov_sample_txla_rxla_state
function
function, defined in class svt_chi_rn_link_monitor_def_cov_callback,  returns type void
cov_sample_txsactive_rxsactive
function
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,  returns type void
cov_sample_txsactive_rxsactive
function
function, defined in class svt_chi_rn_link_monitor_def_cov_callback,  returns type void
cov_sample_write_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_write_delay_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_write_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,  returns type void
cov_sample_write_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_sample_write_xact_parameters
function
function, defined in class svt_chi_node_protocol_monitor_issue_a_def_cov_callback,  returns type void
cov_sample_write_xact_parameters_chi_issue_a_to_issue_c_only
function
function, defined in class svt_chi_node_protocol_monitor_def_cov_callback,  returns type void
cov_scenario_seq
attribute
attribute type svt_pattern_sequence, defined in class svt_chi_scenario_coverage_database
cov_seq_iter
attribute
attribute type svt_sequence_item_base_queue_iter, defined in class svt_chi_scenario_coverage_database
cov_snoop_item
attribute
attribute type protected svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_def_cov_data_callback
cov_snp_attr_snoopable_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_attr_snoopable_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cov_snp_attr_snoopdomain_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_be_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_data_flit_opcode_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_final_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_initial_cache_line_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_ns_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_qos_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_response_final_state_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_rsp_datatransfer
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_rsp_flit_opcode_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_snprespdataptl_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_txn_id_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snp_xact_type_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snpstashunique_xact_to_snpresp_delay_count_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snpunique_snpcleaninvalid_snpmakeinvalid_xact_to_snpresp_delay_count_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_snpunique_snpcleaninvalid_xact_to_snprespdata_delay_count_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_sys_rn_node_sample_event
attribute
attribute type event, defined in class svt_chi_system_monitor_def_cov_data_callback
cov_sys_sn_node_sample_event
attribute
attribute type event, defined in class svt_chi_system_monitor_def_cov_data_callback
cov_txla_rxla_state_flag
attribute
attribute type protected bit, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_txnid_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_txsactive_rxsactive_flag
attribute
attribute type protected bit, defined in class svt_chi_rn_link_monitor_def_cov_data_callback
cov_user_resp_final_state
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_write_dat_be_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_write_dat_data_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_write_xacts_dbid_to_writedata_delay_count_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_xact_type_comp_resp_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_xact_type_flag
attribute
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
cov_xact_type_flag
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
cover_concurrent_non_overlapping_coherent_xact_on_different_port
function
function, defined in class svt_chi_system_monitor_def_cov_callback,  returns type void
cover_concurrent_non_overlapping_coherent_xact_on_different_port
function
function, defined in class svt_chi_system_monitor_def_cov_data_callback,  returns type void
cover_concurrent_overlapping_coherent_xact_on_different_port
function
function, defined in class svt_chi_system_monitor_def_cov_callback,  returns type void
cover_concurrent_overlapping_coherent_xact_on_different_port
function
function, defined in class svt_chi_system_monitor_def_cov_data_callback,  returns type void
create
function
function, defined in class uvm_recorder,  returns type uvm_object
create
function
function, defined in class uvm_object,  returns type uvm_object
create
function
function, defined in class uvm_component,  returns type uvm_object
create
function
function, defined in class uvm_objection,  returns type uvm_object
create
function
function, defined in class uvm_pool,  returns type uvm_object
create
function
function, defined in class uvm_barrier,  returns type uvm_object
create
function
function, defined in class uvm_event,  returns type uvm_object
create
function
function, defined in class uvm_queue,  returns type uvm_object
create
function
function, defined in class uvm_component_registry,  returns type T
create
function
function, defined in class uvm_object_registry,  returns type T
create
function
function, defined in class uvm_event_callback,  returns type uvm_object
create
function
function, defined in class uvm_test_done_objection,  returns type uvm_object
create
function
function, defined in class uvm_tlm_req_rsp_channel,  returns type uvm_object
create
function
function, defined in class uvm_class_pair,  returns type uvm_object
create
function
function, defined in class uvm_built_in_pair,  returns type uvm_object
create
function
function, defined in class uvm_sequence_library_cfg,  returns type uvm_object
create
function
function, defined in class uvm_sequence_library,  returns type uvm_object
create
function
function, defined in class uvm_random_sequence,  returns type uvm_object
create
function
function, defined in class uvm_exhaustive_sequence,  returns type uvm_object
create
function
function, defined in class uvm_simple_sequence,  returns type uvm_object
create
function
function, defined in class uvm_tlm_extension_base,  returns type uvm_object
create
function
function, defined in class uvm_tlm_generic_payload,  returns type uvm_object
create
function
function, defined in class uvm_tlm_extension,  returns type uvm_object
create
function
function, defined in class uvm_reg_field,  returns type uvm_object
create
function
function, defined in class uvm_vreg_field,  returns type uvm_object
create
function
function, defined in class uvm_reg_item,  returns type uvm_object
create
function
function, defined in class uvm_reg_map,  returns type uvm_object
create
function
function, defined in class uvm_reg_sequence,  returns type uvm_object
create
function
function, defined in class uvm_reg_tlm_adapter,  returns type uvm_object
create
function
function, defined in class uvm_reg_backdoor,  returns type uvm_object
create
function
function, defined in class uvm_reg_read_only_cbs,  returns type uvm_object
create
function
function, defined in class uvm_reg_write_only_cbs,  returns type uvm_object
create
function
function, defined in class uvm_reg_hw_reset_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_single_bit_bash_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_bit_bash_seq,  returns type uvm_object
create
function
function, defined in class uvm_mem_single_walk_seq,  returns type uvm_object
create
function
function, defined in class uvm_mem_walk_seq,  returns type uvm_object
create
function
function, defined in class uvm_mem_single_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_mem_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_single_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_mem_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_shared_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_mem_shared_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_mem_shared_access_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_mem_built_in_seq,  returns type uvm_object
create
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type uvm_object
create
function
function, defined in class svt_dispatch_sequence,  returns type uvm_object
create
function
function, defined in class svt_named_factory_override_base,  returns type MISSING RETURN NAME
create
function
function, defined in class svt_named_factory_override,  returns type T
create
function
function, defined in class svt_named_factory,  returns type T
create
function
function, defined in class svt_type_factory_override_base,  returns type MISSING RETURN NAME
create
function
function, defined in class svt_type_factory_override,  returns type T
create
function
function, defined in class svt_type_factory,  returns type T
create
function
function, defined in class svt_fsm_state_base,  returns type uvm_object
create
function
function, defined in class svt_err_catcher,  returns type uvm_object
create
function
function, defined in class svt_amba_pv_extension,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_sn_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_snoop_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_cacheline_initialization_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_random_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_xact_type_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_null_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_transaction_dvm_sync_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_eobarrier_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_ecbarrier_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_go_noncoherent_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_read_type_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_exclusive_access_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_write_type_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence,  returns type uvm_object
create
function
function, defined in class chi_rn_barrier_directed_sequence,  returns type uvm_object
create
function
function, defined in class chi_rn_noncoherent_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class chi_rn_directed_noncoherent_xact_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_snoop_transaction_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_snoop_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_rn_directed_snoop_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_snoop_transaction_random_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_snoop_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_sn_transaction_memory_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_sn_transaction_null_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_transaction_memory_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_suspend_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_random_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_ic_sn_reordering_response_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_protocol_service_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_protocol_service_random_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_protocol_service_coherency_exit_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_protocol_service_coherency_entry_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_protocol_service_random_coherency_exit_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_link_service_base_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_link_service_random_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_link_service_deactivate_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_link_service_activate_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,  returns type uvm_object
create
function
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,  returns type uvm_object
create
function
function, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_base_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_multi_node_random_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readshared_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readonce_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readnosnp_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readclean_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readspec_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_readunique_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_cleanunique_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_makeunique_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writebackfull_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writebackptl_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_evict_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_cleanshared_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_single_node_dvm_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_barrier_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_coherent_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence,  returns type uvm_object
create
function
function, defined in class chi_rn_barrier_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,  returns type uvm_object
create
function
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,  returns type uvm_object
create
function
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,  returns type uvm_object
create
function
function, defined in class svt_chi_reg_adapter,  returns type uvm_object
create_aliased_exports
function
function, defined in class uvm_tlm_req_rsp_channel,  returns type void
create_and_start_sequence_by_name
task
defined in class uvm_sequence_base
create_component
function
function, defined in class uvm_component,  returns type uvm_component
create_component
function
function, defined in class uvm_object_wrapper,  returns type uvm_component
create_component
function
function, defined in class uvm_component_registry,  returns type uvm_component
create_component_by_name
function
function, defined in class uvm_factory,  returns type uvm_component
create_component_by_type
function
function, defined in class uvm_factory,  returns type uvm_component
create_cov
function
function, defined in class svt_err_check_stats,  returns type svt_err_check_stats_cov
create_fsm
function
function, defined in class svt_fsm,  returns type svt_fsm
create_item
function
function, defined in class uvm_sequence_base,  returns type uvm_sequence_item
create_map
function
function, defined in class uvm_reg_block,  returns type uvm_reg_map
create_new_domain
function
function, defined in class svt_chi_system_configuration,  returns type bit
create_new_domain
function
function, defined in class svt_chi_address_configuration,  returns type bit
create_non_abstract_component
function
function, defined in class svt_non_abstract_component,  returns type uvm_component
create_non_abstract_report_object
function
function, defined in class svt_non_abstract_report_object,  returns type uvm_report_object
create_object
function
function, defined in class uvm_component,  returns type uvm_object
create_object
function
function, defined in class uvm_object_wrapper,  returns type uvm_object
create_object
function
function, defined in class uvm_object_registry,  returns type uvm_object
create_object_by_name
function
function, defined in class uvm_factory,  returns type uvm_object
create_object_by_type
function
function, defined in class uvm_factory,  returns type uvm_object
create_service_request
function
function, defined in class svt_chi_link_service_base_sequence,  returns type svt_chi_link_service
create_stream
function
function, defined in class uvm_recorder,  returns type integer
create_sub_cfgs
function
function, defined in class svt_chi_system_configuration,  returns type void
create_sub_cfgs
function
function, defined in class svt_chi_interconnect_configuration,  returns type void
create_traffic_profile_transaction
function
function, defined in class svt_traffic_arbiter,  returns type svt_traffic_profile_transaction
create_type_by_name
function
function, defined in class uvm_utils,  returns type TYPE
CREATED
enum value
member of global items uvm_sequence_state
CTRL
enum value
member of svt_chi_transaction :: xact_category_enum
cur_severity
function arg
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_override
cur_severity
function arg
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_id_override
cur_severity
function arg
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_override
cur_severity
function arg
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_id_override
cur_val
function arg
arg type uvm_reg_data_t, defined in function uvm_reg_field :: XpredictX
curr_auto_generated_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
curr_cycle
attribute
attribute type int, defined in class svt_chi_status
curr_cycle
attribute
attribute type int, defined in class svt_chi_flit
curr_data
attribute
attribute type protected svt_sequence_item_base, defined in class svt_sequence_item_base_queue_iter
curr_dropped_retry_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
curr_dropped_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
curr_group_xact_q
attribute
attribute type protected svt_traffic_profile_transaction, defined in class svt_traffic_arbiter
curr_ix
attribute
attribute type protected int, defined in class svt_sequence_item_base_queue_iter
curr_ix
function arg
arg type int, defined in function svt_sequence_item_base_queue_iter :: initialize
curr_perf_config
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_node_pmu
curr_read_xact_byte_count
attribute
attribute type int, defined in class svt_amba_perf_rec_base
curr_read_xact_count
attribute
attribute type int, defined in class svt_amba_perf_rec_base
curr_read_xact_total_duration
attribute
attribute type real, defined in class svt_amba_perf_rec_base
curr_req_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_req_lcrd_count
interface attribute
defined in interface svt_chi_sn_if,
curr_req_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_req_lcrd_count
interface attribute
defined in interface svt_chi_ic_sn_if,
curr_rn_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data
curr_rxdat_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_rxdat_lcrd_count
interface attribute
defined in interface svt_chi_sn_if,
curr_rxdat_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_rxdat_lcrd_count
interface attribute
defined in interface svt_chi_ic_sn_if,
curr_rxrsp_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_rxrsp_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_rxrsp_lcrd_count
interface attribute
defined in interface svt_chi_ic_sn_if,
curr_snp_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_snp_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_sys_xact
attribute
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data
curr_tx_flit_delay
attribute
attribute type int, defined in class svt_chi_flit
curr_tx_flitpend_flitv_delay
attribute
attribute type int, defined in class svt_chi_flit
curr_txdat_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_txdat_lcrd_count
interface attribute
defined in interface svt_chi_sn_if,
curr_txdat_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_txdat_lcrd_count
interface attribute
defined in interface svt_chi_ic_sn_if,
curr_txrsp_lcrd_count
interface attribute
defined in interface svt_chi_rn_if,
curr_txrsp_lcrd_count
interface attribute
defined in interface svt_chi_sn_if,
curr_txrsp_lcrd_count
interface attribute
defined in interface svt_chi_ic_rn_if,
curr_write_xact_byte_count
attribute
attribute type int, defined in class svt_amba_perf_rec_base
curr_write_xact_count
attribute
attribute type int, defined in class svt_amba_perf_rec_base
curr_write_xact_total_duration
attribute
attribute type real, defined in class svt_amba_perf_rec_base
curr_xact
function arg
arg type svt_sequence_item, defined in function svt_chi_system_monitor_callback :: pre_hazard_check_execute
current_dat_flit_num
attribute
attribute type int, defined in class svt_chi_transaction
current_grabber
function
function, defined in class uvm_sequencer_base,  returns type uvm_sequence_base
current_if_outstanding_cmo_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_cmo_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_control_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_control_xact_count
attribute
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
current_if_outstanding_control_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_copyback_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_copyback_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_dvm_sync_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_dvm_sync_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_non_copyback_writenosnp_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_non_copyback_writenosnp_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_non_copyback_writeunique_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_non_copyback_writeunique_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_read_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_read_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_readnosnp_xact_with_memattr_count
attribute
attribute type int unsigned, defined in class svt_chi_protocol_status
current_if_outstanding_write_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_write_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_writenosnp_xact_with_memattr_count
attribute
attribute type int unsigned, defined in class svt_chi_protocol_status
current_if_outstanding_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_xact_count
attribute
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
current_if_outstanding_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_if_outstanding_xact_per_src_id_count
attribute
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
current_if_outstanding_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_coverage_per_src_id_container
current_outstanding_cmo_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_cmo_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_control_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_control_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_dvm_snoop_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_dvm_snoop_xact_count
attribute
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
current_outstanding_non_fwd_non_stash_snoop_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_read_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_read_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_snpcleaninvalid_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_snpmakeinvalid_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_snponce_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_write_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_write_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_xact_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_xact_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_xact_per_src_id_count
function arg
arg type int, defined in function svt_chi_coverage_per_src_id_container :: cov_sample
current_outstanding_xact_retry_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_outstanding_xact_retry_count
attribute
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback
current_outstanding_xact_retry_count
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
current_outstanding_xact_retry_per_src_id_count
attribute
attribute type int, defined in class svt_chi_protocol_status
current_state
attribute
attribute type rand svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_common_transaction
current_state_for_copyback_when_cache_is_disabled
constraint
defined in class svt_chi_rn_transaction
current_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_exclusive_monitor :: check_exclusive_memory
custom_debug
macro
 
custom_exclusive_monitor_reset_enable
attribute
attribute type bit, defined in class svt_chi_system_configuration
CUSTOM_NON_COHERENT_EXCLUSIVE_LOAD_STORE_WITH_MISMATCHED_ADDR_DATASIZE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
CUSTOM_NON_COHERENT_EXCLUSIVE_LOAD_STORE_WITH_MISMATCHED_ATTRIBUTE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
CUSTOM_NON_COHERENT_EXCLUSIVE_LOAD_STORE_WITH_MISMATCHED_ATTRIBUTE_WITH_INTERVENING_STORE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
CUSTOM_USER_SNOOP_BEHAVIOR
enum value
member of svt_chi_system_configuration :: snoop_filter_policy_on_sc_state_enum
CYCLE
enum value
member of svt_traffic_profile_transaction :: attr_val_type_enum
cycle_check
attribute
attribute type bit, defined in class uvm_status_container