SVT - index - u

uid
attribute
attribute type string, defined in class svt_pa_object_data
uid
function arg
arg type string, defined in function svt_pa_object_data :: new
uid
function arg
arg type string, defined in function svt_sequence_item_base :: get_pa_obj_data
uid
function arg
arg type string, defined in function svt_sequence_item :: get_pa_obj_data
UNASSIGNED
enum value
member of svt_sequence_item_base :: kind_enum
UNDEF
enum value
member of svt_pattern_data :: type_enum
unfilter
function
function, defined in class svt_err_check_report_catcher,  returns type void
unfilter_error
function
function, defined in class svt_err_check,  returns type void
ungrab
function
function, defined in class uvm_sequencer_base,  returns type void
ungrab
function
function, defined in class uvm_sequence_base,  returns type void
UNINITIALIZED_PHASE
enum value
member of global items uvm_tlm_phase_e
UNIQUE
enum value
member of svt_traffic_profile_transaction :: attr_val_type_enum
unique_id
function arg
arg type string, defined in function svt_err_check_stats_cov :: set_unique_id
unique_id
function arg
arg type string, defined in function svt_err_check :: find
unique_id
function arg
arg type string, defined in function svt_err_check :: get_err_check_stats
unique_id
function arg
arg type string, defined in function svt_err_check :: get_check_stats
UNKNOWN_ACTION
enum value
member of uvm_report_catcher :: action_e
UNKNOWNS
enum value
member of uvm_mem :: init_e
UNKNOWNS
enum value
member of svt_mem :: meminit_enum
unload
function
function, defined in class svt_mem_sa_core_2state,  returns type int
unload
function
function, defined in class svt_mem_sa_core_4state,  returns type int
unload_all
function
function, defined in class svt_mem_sa_core_2state,  returns type int
unload_all
function
function, defined in class svt_mem_sa_core_4state,  returns type int
unlock
function
function, defined in class uvm_sequencer_base,  returns type void
unlock
function
function, defined in class uvm_sequence_base,  returns type void
unmapped
function arg
arg type bit, defined in function uvm_reg :: set_offset
unmapped
function arg
arg type bit, defined in function uvm_mem :: set_offset
unmapped
function arg
arg type bit, defined in function uvm_reg_map :: add_reg
unmapped
function arg
arg type bit, defined in function uvm_reg_map :: add_mem
unmapped
function arg
arg type bit, defined in function uvm_reg_map :: m_set_reg_offset
unmapped
function arg
arg type bit, defined in function uvm_reg_map :: m_set_mem_offset
unmapped
attribute
attribute type bit, defined in class uvm_reg_map_info
unpack
function
function, defined in class uvm_object,  returns type int
unpack_bytes
function
function, defined in class uvm_object,  returns type int
unpack_field
function
function, defined in class uvm_packer,  returns type uvm_bitstream_t
unpack_field_int
function
function, defined in class uvm_packer,  returns type logic [63:0]
unpack_ints
function
function, defined in class uvm_object,  returns type int
unpack_object
function
function, defined in class uvm_packer,  returns type void
unpack_object_ext
function
function, defined in class uvm_packer,  returns type void
unpack_pattern_data
function
function, defined in class svt_sequence_item_base,  returns type void
unpack_real
function
function, defined in class uvm_packer,  returns type real
unpack_string
function
function, defined in class uvm_packer,  returns type string
unpack_time
function
function, defined in class uvm_packer,  returns type time
unprotect
function
function, defined in class svt_mem_sa_core_2state,  returns type int
unprotect
function
function, defined in class svt_mem_sa_core_4state,  returns type int
unprotect
function
function, defined in class svt_mem_backdoor,  returns type void
unprotect
function
function, defined in class svt_mem_core,  returns type void
unregister_check
function
function, defined in class svt_err_check,  returns type void
unregister_err_check_stats
function
function, defined in class svt_err_check,  returns type void
unscramble
function
function, defined in class svt_data_converter,  returns type void
unscramble
function
function, defined in class svt_sequence_item,  returns type void
unset_arg
function
function, defined in class uvm_scope_stack,  returns type void
unsigned_radix
attribute
attribute type string, defined in class uvm_printer_knobs
unsync
function
function, defined in class uvm_phase,  returns type void
up
function
function, defined in class uvm_scope_stack,  returns type void
up_element
function
function, defined in class uvm_scope_stack,  returns type void
update
task
defined in class uvm_reg
update
task
defined in class uvm_reg_block
update
task
defined in class uvm_reg_indirect_data
update
task
defined in class uvm_reg_fifo
update_desc
function arg
arg type get_set_struct, defined in function svt_debug_opts_carrier :: update_save_prop_vals_to_fsdb
update_desc
function arg
arg type get_set_struct, defined in function svt_pattern_data_carrier :: update_save_prop_vals_to_fsdb
update_fifo_levels_every_clock
function
function, defined in class svt_fifo_rate_control,  returns type void
update_fifo_levels_on_data_xmit
task
defined in class svt_fifo_rate_control
update_reg
task
defined in class uvm_reg_sequence
update_save_prop_vals_to_fsdb
function
function, defined in class svt_debug_opts_carrier,  returns type bit
update_save_prop_vals_to_fsdb
function
function, defined in class svt_pattern_data_carrier,  returns type bit
update_sequence_id
function arg
arg type bit, defined in function uvm_sequence_base :: m_get_sqr_sequence_id
update_total_expected_fill_levels
task
defined in class svt_fifo_rate_control
UPSTREAM
enum value
member of uvm_reg_sequence :: seq_parent_e
upstream_parent
attribute
attribute type uvm_sequence_base, defined in class uvm_reg_sequence
use_fallback
function arg
arg type input bit, defined in function svt_config_object_db :: get_from_parent
use_metadata
attribute
attribute type bit, defined in class uvm_packer
use_response_handler
function
function, defined in class uvm_sequence_base,  returns type void
use_uvm_seeding
attribute
attribute type static bit, defined in class uvm_object
used
function
function, defined in class uvm_tlm_fifo_base,  returns type int
used
function
function, defined in class uvm_tlm_fifo,  returns type int
user_pattern
attribute
attribute type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in class svt_mem
USER_PATTERN
enum value
member of svt_mem :: meminit_enum
user_priority_arbitration
function
function, defined in class uvm_sequencer_base,  returns type integer
uvm_aa_string_key
attribute
attribute type string, defined in global
UVM_ABSTRACT
attribute
attribute type parameter, defined in global
uvm_access_e
enum typedef
defined in global
uvm_action_type
enum typedef
defined in global
UVM_ACTIVE
enum value
member of global items uvm_active_passive_enum
uvm_active_passive_enum
enum typedef
defined in global
uvm_add_to_seq_lib
macro
 
uvm_agent
class
 
uvm_algorithmic_comparator
class
 
UVM_ALL_ACTIVE
enum value
member of global items uvm_heartbeat_modes
UVM_ALL_DROPPED
enum value
member of global items uvm_objection_event
UVM_ALL_ON
attribute
attribute type parameter, defined in global
uvm_analysis_export
class
 
uvm_analysis_imp
class
 
uvm_analysis_imp_decl
macro
 
uvm_analysis_port
class
 
UVM_ANY_ACTIVE
enum value
member of global items uvm_heartbeat_modes
UVM_APPEND
enum value
member of global items uvm_apprepend
uvm_apprepend
enum typedef
defined in global
UVM_BACKDOOR
enum value
member of global items uvm_path_e
uvm_barrier
class
 
uvm_barrier
class typedef
defined in global
uvm_barrier_pool
class typedef
defined in global
UVM_BASE_SVH
macro
 
UVM_BIG_ENDIAN
enum value
member of global items uvm_endianness_e
UVM_BIG_FIFO
enum value
member of global items uvm_endianness_e
UVM_BIN
enum value
member of global items uvm_radix_enum
uvm_bit_rsrc
class
 
uvm_bits_to_string
function
function, defined in global,  returns type string
uvm_blocking_get_export
class
 
uvm_blocking_get_imp
class
 
UVM_BLOCKING_GET_IMP
macro
 
uvm_blocking_get_imp_decl
macro
 
UVM_BLOCKING_GET_IMP_SFX
macro
 
uvm_blocking_get_peek_export
class
 
uvm_blocking_get_peek_imp
class
 
UVM_BLOCKING_GET_PEEK_IMP
macro
 
uvm_blocking_get_peek_imp_decl
macro
 
uvm_blocking_get_peek_port
class
 
uvm_blocking_get_port
class
 
uvm_blocking_master_export
class
 
uvm_blocking_master_imp
class
 
uvm_blocking_master_imp_decl
macro
 
uvm_blocking_master_port
class
 
uvm_blocking_peek_export
class
 
uvm_blocking_peek_imp
class
 
UVM_BLOCKING_PEEK_IMP
macro
 
uvm_blocking_peek_imp_decl
macro
 
UVM_BLOCKING_PEEK_IMP_SFX
macro
 
uvm_blocking_peek_port
class
 
uvm_blocking_put_export
class
 
uvm_blocking_put_imp
class
 
UVM_BLOCKING_PUT_IMP
macro
 
uvm_blocking_put_imp_decl
macro
 
UVM_BLOCKING_PUT_IMP_SFX
macro
 
uvm_blocking_put_port
class
 
uvm_blocking_slave_export
class
 
uvm_blocking_slave_imp
class
 
uvm_blocking_slave_imp_decl
macro
 
uvm_blocking_slave_port
class
 
uvm_blocking_transport_export
class
 
uvm_blocking_transport_imp
class
 
UVM_BLOCKING_TRANSPORT_IMP
macro
 
uvm_blocking_transport_imp_decl
macro
 
UVM_BLOCKING_TRANSPORT_IMP_SFX
macro
 
uvm_blocking_transport_port
class
 
uvm_bottomup_phase
class
 
uvm_build_phase
class
 
uvm_build_phase
class typedef
defined in global
uvm_built_in_clone
class
 
uvm_built_in_comp
class
 
uvm_built_in_converter
class
 
uvm_built_in_pair
class
 
uvm_builtin_bottomup_phase
macro
 
uvm_builtin_task_phase
macro
 
uvm_builtin_topdown_phase
macro
 
UVM_BURST_READ
enum value
member of global items uvm_access_e
UVM_BURST_WRITE
enum value
member of global items uvm_access_e
uvm_byte_rsrc
class
 
UVM_CALL_HOOK
enum value
member of global items uvm_action_type
uvm_callback
class
 
uvm_callback
class typedef
defined in global
uvm_callback_iter
class
 
UVM_CALLBACK_SVH
macro
 
uvm_callbacks
class
 
uvm_callbacks_base
class
 
uvm_callbacks_base
class typedef
defined in global
uvm_callbacks_objection
class
 
uvm_callbacks_objection
class typedef
defined in global
UVM_CB_MACROS_SVH
macro
 
uvm_cb_trace
macro
 
uvm_cb_trace_noobj
macro
 
uvm_cdn_copyright
attribute
attribute type parameter, defined in global
UVM_CHECK
enum value
member of global items uvm_check_e
uvm_check_e
enum typedef
defined in global
UVM_CHECK_FIELDS
attribute
attribute type parameter, defined in global
uvm_check_phase
class
 
uvm_check_phase
class typedef
defined in global
uvm_class_clone
class
 
uvm_class_comp
class
 
uvm_class_converter
class
 
uvm_class_pair
class
 
uvm_cmd_line_verb
class
 
uvm_cmdline_proc
attribute
attribute type const uvm_cmdline_processor, defined in global
uvm_cmdline_processor
class
 
uvm_cmdline_processor
class typedef
defined in global
UVM_CMDLINE_PROCESSOR_SV
macro
 
UVM_COMPARE
attribute
attribute type parameter, defined in global
uvm_comparer
class
 
uvm_comparer
class typedef
defined in global
UVM_COMPLETED
enum value
member of global items uvm_phase_transition
uvm_component
class
 
uvm_component
class typedef
defined in global
uvm_component_param_utils
macro
 
uvm_component_param_utils_begin
macro
 
uvm_component_registry
class
 
uvm_component_registry
macro
 
uvm_component_utils
macro
 
uvm_component_utils_begin
macro
 
uvm_component_utils_end
macro
 
uvm_config_db
class
 
uvm_config_db_options
class
 
uvm_config_db_options
class typedef
defined in global
uvm_config_int
class typedef
defined in global
uvm_config_object
class typedef
defined in global
uvm_config_object_wrapper
class
 
uvm_config_seq
class typedef
defined in global
uvm_config_string
class typedef
defined in global
uvm_config_wrapper
class typedef
defined in global
uvm_configure_phase
class
 
uvm_configure_phase
class typedef
defined in global
uvm_connect_phase
class
 
uvm_connect_phase
class typedef
defined in global
UVM_COPY
attribute
attribute type parameter, defined in global
uvm_copy_map
class
 
UVM_COUNT
enum value
member of global items uvm_action_type
uvm_coverage_model_e
enum typedef
defined in global
uvm_create
macro
 
uvm_create_on
macro
 
uvm_create_random_seed
function
function, defined in global,  returns type unsigned int
uvm_create_seq
macro
 
UVM_CVR_ADDR_MAP
enum value
member of global items uvm_coverage_model_e
UVM_CVR_ALL
enum value
member of global items uvm_coverage_model_e
UVM_CVR_FIELD_VALS
enum value
member of global items uvm_coverage_model_e
UVM_CVR_REG_BITS
enum value
member of global items uvm_coverage_model_e
uvm_cy_copyright
attribute
attribute type parameter, defined in global
UVM_DEBUG
enum value
member of global items uvm_verbosity
UVM_DEC
enum value
member of global items uvm_radix_enum
uvm_declare_p_sequencer
macro
 
uvm_declare_sequence_lib
macro
 
UVM_DEEP
enum value
member of global items uvm_recursion_policy_enum
UVM_DEFAULT
attribute
attribute type parameter, defined in global
uvm_default_comparer
attribute
attribute type uvm_comparer, defined in global
uvm_default_driver_type
class typedef
defined in global
uvm_default_line_printer
attribute
attribute type uvm_line_printer, defined in global
uvm_default_packer
attribute
attribute type uvm_packer, defined in global
UVM_DEFAULT_PATH
enum value
member of global items uvm_path_e
UVM_DEFAULT_POLICY
enum value
member of global items uvm_recursion_policy_enum
uvm_default_printer
attribute
attribute type uvm_printer, defined in global
uvm_default_recorder
attribute
attribute type uvm_recorder, defined in global
uvm_default_sequence_type
class typedef
defined in global
uvm_default_sequencer_param_type
class typedef
defined in global
uvm_default_sequencer_type
class typedef
defined in global
uvm_default_table_printer
attribute
attribute type uvm_table_printer, defined in global
UVM_DEFAULT_TIMEOUT
macro
 
UVM_DEFAULT_TIMEOUT
macro
 
uvm_default_tree_printer
attribute
attribute type uvm_tree_printer, defined in global
uvm_delay
macro
 
uvm_derived_callbacks
class
 
UVM_DISPLAY
enum value
member of global items uvm_action_type
uvm_do
macro
 
UVM_DO_ALL_REG_MEM_TESTS
enum value
member of global items uvm_reg_mem_tests_e
uvm_do_callbacks
macro
 
uvm_do_callbacks_exit_on
macro
 
UVM_DO_MEM_ACCESS
enum value
member of global items uvm_reg_mem_tests_e
UVM_DO_MEM_WALK
enum value
member of global items uvm_reg_mem_tests_e
uvm_do_obj_callbacks
macro
 
uvm_do_obj_callbacks_exit_on
macro
 
uvm_do_on
macro
 
uvm_do_on_pri
macro
 
uvm_do_on_pri_with
macro
 
uvm_do_on_with
macro
 
uvm_do_pri
macro
 
uvm_do_pri_with
macro
 
UVM_DO_REG_ACCESS
enum value
member of global items uvm_reg_mem_tests_e
UVM_DO_REG_BIT_BASH
enum value
member of global items uvm_reg_mem_tests_e
UVM_DO_REG_HW_RESET
enum value
member of global items uvm_reg_mem_tests_e
uvm_do_seq
macro
 
uvm_do_seq_with
macro
 
UVM_DO_SHARED_ACCESS
enum value
member of global items uvm_reg_mem_tests_e
uvm_do_with
macro
 
uvm_domain
class
 
uvm_domain
class typedef
defined in global
uvm_dpi_get_next_arg
function
function, defined in global,  returns type string
uvm_dpi_get_next_arg_c
function
function, defined in global,  returns type string
uvm_dpi_get_tool_name
function
function, defined in global,  returns type string
uvm_dpi_get_tool_name_c
function
function, defined in global,  returns type string
uvm_dpi_get_tool_version
function
function, defined in global,  returns type string
uvm_dpi_get_tool_version_c
function
function, defined in global,  returns type string
uvm_dpi_regcomp
function
function, defined in global,  returns type chandle
uvm_dpi_regexec
function
function, defined in global,  returns type int
uvm_dpi_regfree
function
function, defined in global,  returns type void
UVM_DPI_SVH
macro
 
uvm_driver
class
 
UVM_DROPPED
enum value
member of global items uvm_objection_event
uvm_dump_re_cache
function
function, defined in global,  returns type void
uvm_elem_kind_e
enum typedef
defined in global
UVM_END_DATA_EXTRA
attribute
attribute type parameter, defined in global
UVM_END_FUNCS
attribute
attribute type parameter, defined in global
uvm_end_of_elaboration_phase
class
 
uvm_end_of_elaboration_phase
class typedef
defined in global
uvm_end_package
macro
 
uvm_endianness_e
enum typedef
defined in global
UVM_ENUM
enum value
member of global items uvm_radix_enum
uvm_env
class
 
uvm_env
class typedef
defined in global
UVM_EQ
enum value
member of global items uvm_wait_op
UVM_ERROR
enum value
member of global items uvm_severity_type
uvm_error
macro
 
uvm_error_context
macro
 
uvm_event
class
 
uvm_event
class typedef
defined in global
uvm_event_callback
class
 
uvm_event_pool
class typedef
defined in global
uvm_exhaustive_sequence
class
 
UVM_EXIT
enum value
member of global items uvm_action_type
UVM_EXPORT
enum value
member of global items uvm_port_type_e
UVM_EXPORT_COMMON
macro
 
uvm_extract_phase
class
 
uvm_extract_phase
class typedef
defined in global
uvm_factory
class
 
uvm_factory_override
class
 
uvm_factory_override
class typedef
defined in global
uvm_factory_queue_class
class
 
UVM_FATAL
enum value
member of global items uvm_severity_type
uvm_fatal
macro
 
uvm_fatal_context
macro
 
UVM_FIELD
enum value
member of global items uvm_elem_kind_e
uvm_field_aa_int_byte
macro
 
uvm_field_aa_int_byte_unsigned
macro
 
uvm_field_aa_int_enumkey
macro
 
uvm_field_aa_int_int
macro
 
uvm_field_aa_int_int_unsigned
macro
 
uvm_field_aa_int_integer
macro
 
uvm_field_aa_int_integer_unsigned
macro
 
uvm_field_aa_int_key
macro
 
uvm_field_aa_int_longint
macro
 
uvm_field_aa_int_longint_unsigned
macro
 
uvm_field_aa_int_shortint
macro
 
uvm_field_aa_int_shortint_unsigned
macro
 
uvm_field_aa_int_string
macro
 
uvm_field_aa_object_int
macro
 
uvm_field_aa_object_string
macro
 
uvm_field_aa_string_string
macro
 
uvm_field_array_enum
macro
 
uvm_field_array_int
macro
 
uvm_field_array_object
macro
 
uvm_field_array_real
macro
 
uvm_field_array_string
macro
 
uvm_field_enum
macro
 
uvm_field_event
macro
 
uvm_field_int
macro
 
uvm_field_object
macro
 
uvm_field_queue_enum
macro
 
uvm_field_queue_int
macro
 
uvm_field_queue_object
macro
 
uvm_field_queue_string
macro
 
uvm_field_real
macro
 
uvm_field_sarray_enum
macro
 
uvm_field_sarray_int
macro
 
uvm_field_sarray_object
macro
 
uvm_field_sarray_real
macro
 
uvm_field_sarray_string
macro
 
uvm_field_string
macro
 
uvm_field_utils_begin
macro
 
uvm_field_utils_end
macro
 
uvm_file
macro
 
uvm_final_phase
class
 
uvm_final_phase
class typedef
defined in global
UVM_FIX_REV
macro
 
UVM_FIX_REV_d
macro
 
UVM_FIX_VERSION_1_1_d
macro
 
UVM_FLAGS
attribute
attribute type parameter, defined in global
UVM_FLAGS_OFF
attribute
attribute type parameter, defined in global
UVM_FLAGS_ON
attribute
attribute type parameter, defined in global
UVM_FORCED_STOP
enum value
member of global items uvm_phase_transition
UVM_FRONTDOOR
enum value
member of global items uvm_path_e
UVM_FULL
enum value
member of global items uvm_verbosity
UVM_FUNCTION_ERROR
macro
 
uvm_get_array_index_int
function
function, defined in global,  returns type int
uvm_get_array_index_string
function
function, defined in global,  returns type string
uvm_get_export
class
 
uvm_get_imp
class
 
UVM_GET_IMP
macro
 
uvm_get_imp_decl
macro
 
uvm_get_max_verbosity
function
function, defined in class uvm_report_object,  returns type int
uvm_get_peek_export
class
 
uvm_get_peek_imp
class
 
UVM_GET_PEEK_IMP
macro
 
uvm_get_peek_imp_decl
macro
 
uvm_get_peek_port
class
 
uvm_get_port
class
 
uvm_glob_to_re
function
function, defined in global,  returns type string
uvm_global_copy_map
attribute
attribute type uvm_copy_map, defined in global
uvm_global_random_seed
attribute
attribute type int unsigned, defined in global
UVM_GT
enum value
member of global items uvm_wait_op
UVM_GTE
enum value
member of global items uvm_wait_op
uvm_has_wildcard
function
function, defined in global,  returns type function
UVM_HAS_X
enum value
member of global items uvm_status_e
UVM_HDL__SVH
macro
 
uvm_hdl_check_path
function
function, defined in global,  returns type int
uvm_hdl_concat2string
function
function, defined in global,  returns type string
uvm_hdl_deposit
function
function, defined in global,  returns type int
uvm_hdl_force
function
function, defined in global,  returns type int
uvm_hdl_force_time
task
defined in global
UVM_HDL_MAX_WIDTH
attribute
attribute type parameter, defined in global
UVM_HDL_MAX_WIDTH
macro
 
uvm_hdl_path_concat
class
 
uvm_hdl_path_slice
struct typedef
defined in global
uvm_hdl_read
function
function, defined in global,  returns type int
uvm_hdl_release
function
function, defined in global,  returns type int
uvm_hdl_release_and_read
function
function, defined in global,  returns type int
uvm_heartbeat
class
 
uvm_heartbeat_callback
class
 
uvm_heartbeat_callback
class typedef
defined in global
uvm_heartbeat_cbs_t
class typedef
defined in global
uvm_heartbeat_modes
enum typedef
defined in global
UVM_HEARTBEAT_SVH
macro
 
UVM_HEX
enum value
member of global items uvm_radix_enum
UVM_HIER
enum value
member of global items uvm_hier_e
uvm_hier_e
enum typedef
defined in global
UVM_HIGH
enum value
member of global items uvm_verbosity
uvm_id_actions_array
class typedef
defined in global
uvm_id_file_array
class typedef
defined in global
uvm_id_verbosities_array
class typedef
defined in global
UVM_IMP_COMMON
macro
 
UVM_IMPLEMENTATION
enum value
member of global items uvm_port_type_e
uvm_in_order_built_in_comparator
class
 
uvm_in_order_class_comparator
class
 
uvm_in_order_comparator
class
 
UVM_INFO
enum value
member of global items uvm_severity_type
uvm_info
macro
 
uvm_info_context
macro
 
uvm_instance_scope
function
function, defined in global,  returns type string
uvm_int_rsrc
class
 
uvm_is_array
function
function, defined in global,  returns type bit
uvm_is_match
function
function, defined in global,  returns type bit
UVM_IS_OK
enum value
member of global items uvm_status_e
UVM_LARGE_STRING
attribute
attribute type parameter, defined in global
uvm_leaf_scope
function
function, defined in global,  returns type string
uvm_line
macro
 
uvm_line_printer
class
 
uvm_line_printer
class typedef
defined in global
UVM_LINE_WIDTH
attribute
attribute type parameter, defined in global
UVM_LINE_WIDTH
macro
 
UVM_LITTLE_ENDIAN
enum value
member of global items uvm_endianness_e
UVM_LITTLE_FIFO
enum value
member of global items uvm_endianness_e
UVM_LOG
enum value
member of global items uvm_action_type
UVM_LOW
enum value
member of global items uvm_verbosity
UVM_LT
enum value
member of global items uvm_wait_op
UVM_LTE
enum value
member of global items uvm_wait_op
UVM_MACRO_EXTRAS
attribute
attribute type parameter, defined in global
UVM_MACRO_NUMFLAGS
attribute
attribute type parameter, defined in global
UVM_MACROS_SVH
macro
 
uvm_main_phase
class
 
uvm_main_phase
class typedef
defined in global
UVM_MAJOR_REV
macro
 
UVM_MAJOR_REV_1
macro
 
UVM_MAJOR_VERSION_1_1
macro
 
uvm_master_export
class
 
uvm_master_imp
class
 
uvm_master_imp_decl
macro
 
uvm_master_port
class
 
UVM_MAX_STREAMBITS
macro
 
UVM_MEDIUM
enum value
member of global items uvm_verbosity
uvm_mem
class
 
uvm_mem
class typedef
defined in global
UVM_MEM
enum value
member of global items uvm_elem_kind_e
uvm_mem_access_seq
class
 
uvm_mem_access_seq
class typedef
defined in global
uvm_mem_cb
class typedef
defined in global
uvm_mem_cb_iter
class typedef
defined in global
uvm_mem_mam
class
 
uvm_mem_mam
class typedef
defined in global
UVM_MEM_MAM__SV
macro
 
uvm_mem_mam_cfg
class
 
uvm_mem_mam_cfg
class typedef
defined in global
uvm_mem_mam_cfg_valid
constraint
defined in class uvm_mem_mam_cfg
uvm_mem_mam_policy
class
 
uvm_mem_mam_policy
class typedef
defined in global
uvm_mem_mam_policy_no_overlap
constraint
defined in class uvm_mem_mam_policy
uvm_mem_mam_policy_valid
constraint
defined in class uvm_mem_mam_policy
uvm_mem_region
class
 
uvm_mem_region
class typedef
defined in global
uvm_mem_shared_access_seq
class
 
uvm_mem_single_access_seq
class
 
uvm_mem_single_walk_seq
class
 
uvm_mem_walk_seq
class
 
UVM_MESSAGE_DEFINES_SVH
macro
 
uvm_mgc_copyright
attribute
attribute type parameter, defined in global
UVM_MINOR_REV
macro
 
UVM_MINOR_REV_1
macro
 
uvm_monitor
class
 
UVM_MS_IMP_COMMON
macro
 
UVM_NAME
macro
 
UVM_NE
enum value
member of global items uvm_wait_op
uvm_new_func
macro
 
UVM_NO_ACTION
enum value
member of global items uvm_action_type
UVM_NO_CHECK
enum value
member of global items uvm_check_e
UVM_NO_COVERAGE
enum value
member of global items uvm_coverage_model_e
UVM_NO_ENDIAN
enum value
member of global items uvm_endianness_e
UVM_NO_HB_MODE
enum value
member of global items uvm_heartbeat_modes
UVM_NO_HIER
enum value
member of global items uvm_hier_e
UVM_NOCOMPARE
attribute
attribute type parameter, defined in global
UVM_NOCOPY
attribute
attribute type parameter, defined in global
UVM_NODEFPRINT
attribute
attribute type parameter, defined in global
uvm_non_blocking_transport_imp_decl
macro
 
uvm_nonblocking_get_export
class
 
uvm_nonblocking_get_imp
class
 
UVM_NONBLOCKING_GET_IMP
macro
 
uvm_nonblocking_get_imp_decl
macro
 
UVM_NONBLOCKING_GET_IMP_SFX
macro
 
uvm_nonblocking_get_peek_export
class
 
uvm_nonblocking_get_peek_imp
class
 
UVM_NONBLOCKING_GET_PEEK_IMP
macro
 
uvm_nonblocking_get_peek_imp_decl
macro
 
uvm_nonblocking_get_peek_port
class
 
uvm_nonblocking_get_port
class
 
uvm_nonblocking_master_export
class
 
uvm_nonblocking_master_imp
class
 
uvm_nonblocking_master_imp_decl
macro
 
uvm_nonblocking_master_port
class
 
uvm_nonblocking_peek_export
class
 
uvm_nonblocking_peek_imp
class
 
UVM_NONBLOCKING_PEEK_IMP
macro
 
uvm_nonblocking_peek_imp_decl
macro
 
UVM_NONBLOCKING_PEEK_IMP_SFX
macro
 
uvm_nonblocking_peek_port
class
 
uvm_nonblocking_put_export
class
 
uvm_nonblocking_put_imp
class
 
UVM_NONBLOCKING_PUT_IMP
macro
 
uvm_nonblocking_put_imp_decl
macro
 
UVM_NONBLOCKING_PUT_IMP_SFX
macro
 
uvm_nonblocking_put_port
class
 
uvm_nonblocking_slave_export
class
 
uvm_nonblocking_slave_imp
class
 
uvm_nonblocking_slave_imp_decl
macro
 
uvm_nonblocking_slave_port
class
 
uvm_nonblocking_transport_export
class
 
uvm_nonblocking_transport_imp
class
 
UVM_NONBLOCKING_TRANSPORT_IMP
macro
 
uvm_nonblocking_transport_imp_decl
macro
 
UVM_NONBLOCKING_TRANSPORT_IMP_SFX
macro
 
uvm_nonblocking_transport_port
class
 
UVM_NONE
enum value
member of global items uvm_verbosity
UVM_NOPACK
attribute
attribute type parameter, defined in global
UVM_NOPRINT
attribute
attribute type parameter, defined in global
UVM_NORADIX
enum value
member of global items uvm_radix_enum
UVM_NORECORD
attribute
attribute type parameter, defined in global
UVM_NOT_OK
enum value
member of global items uvm_status_e
UVM_NUM_LINES
attribute
attribute type parameter, defined in global
UVM_NUM_LINES
macro
 
uvm_obj_rsrc
class
 
uvm_object
class
 
uvm_object
class typedef
defined in global
UVM_OBJECT_DEFINES_SVH
macro
 
uvm_object_param_utils
macro
 
uvm_object_param_utils_begin
macro
 
uvm_object_registry
class
 
uvm_object_registry
macro
 
uvm_object_string_pool
class
 
uvm_object_utils
macro
 
uvm_object_utils_begin
macro
 
uvm_object_utils_end
macro
 
uvm_object_value_str
function
function, defined in global,  returns type string
uvm_object_wrapper
class
 
uvm_object_wrapper
class typedef
defined in global
uvm_objection
class
 
uvm_objection
class typedef
defined in global
uvm_objection_callback
class
 
uvm_objection_callback
class typedef
defined in global
uvm_objection_cbs_t
class typedef
defined in global
uvm_objection_context_object
class
 
uvm_objection_context_object
class typedef
defined in global
uvm_objection_event
enum typedef
defined in global
uvm_objection_events
class
 
UVM_OBJECTION_SVH
macro
 
UVM_OCT
enum value
member of global items uvm_radix_enum
UVM_ONE_ACTIVE
enum value
member of global items uvm_heartbeat_modes
uvm_oneway_hash
function
function, defined in global,  returns type unsigned int
UVM_PACK
attribute
attribute type parameter, defined in global
uvm_pack_array
macro
 
uvm_pack_arrayN
macro
 
uvm_pack_enum
macro
 
uvm_pack_enumN
macro
 
uvm_pack_int
macro
 
uvm_pack_intN
macro
 
uvm_pack_queue
macro
 
uvm_pack_queueN
macro
 
uvm_pack_real
macro
 
uvm_pack_sarray
macro
 
uvm_pack_sarrayN
macro
 
uvm_pack_string
macro
 
uvm_package
macro
 
uvm_packer
class
 
uvm_packer
class typedef
defined in global
UVM_PACKER_MAX_BYTES
macro
 
UVM_PASSIVE
enum value
member of global items uvm_active_passive_enum
uvm_path_e
enum typedef
defined in global
uvm_peek_export
class
 
uvm_peek_imp
class
 
UVM_PEEK_IMP
macro
 
uvm_peek_imp_decl
macro
 
uvm_peek_port
class
 
UVM_PH_TRACE
macro
 
uvm_phase
class
 
uvm_phase
class typedef
defined in global
UVM_PHASE_CLEANUP
enum value
member of global items uvm_phase_state
UVM_PHASE_DEFINES_SVH
macro
 
UVM_PHASE_DOMAIN
enum value
member of global items uvm_phase_type
UVM_PHASE_DONE
enum value
member of global items uvm_phase_state
UVM_PHASE_DORMANT
enum value
member of global items uvm_phase_state
UVM_PHASE_ENDED
enum value
member of global items uvm_phase_state
UVM_PHASE_EXECUTING
enum value
member of global items uvm_phase_state
UVM_PHASE_GLOBAL
enum value
member of global items uvm_phase_type
UVM_PHASE_IMP
enum value
member of global items uvm_phase_type
UVM_PHASE_JUMPING
enum value
member of global items uvm_phase_state
UVM_PHASE_NODE
enum value
member of global items uvm_phase_type
UVM_PHASE_READY_TO_END
enum value
member of global items uvm_phase_state
UVM_PHASE_SCHEDULE
enum value
member of global items uvm_phase_type
UVM_PHASE_SCHEDULED
enum value
member of global items uvm_phase_state
UVM_PHASE_STARTED
enum value
member of global items uvm_phase_state
uvm_phase_state
enum typedef
defined in global
UVM_PHASE_SYNCING
enum value
member of global items uvm_phase_state
UVM_PHASE_TERMINAL
enum value
member of global items uvm_phase_type
uvm_phase_transition
enum typedef
defined in global
uvm_phase_type
enum typedef
defined in global
UVM_PHYSICAL
attribute
attribute type parameter, defined in global
uvm_pkg
class
 
uvm_pkg
class
 
uvm_pkg
class
 
uvm_pkg
class
 
uvm_pkg
class
 
UVM_PKG_SV
macro
 
uvm_pool
class
 
UVM_PORT
enum value
member of global items uvm_port_type_e
uvm_port_base
class
 
UVM_PORT_COMMON
macro
 
uvm_port_component
class
 
uvm_port_component_base
class
 
uvm_port_component_base
class typedef
defined in global
uvm_port_list
class typedef
defined in global
uvm_port_type_e
enum typedef
defined in global
uvm_post_configure_phase
class
 
uvm_post_configure_phase
class typedef
defined in global
uvm_post_main_phase
class
 
uvm_post_main_phase
class typedef
defined in global
uvm_post_reset_phase
class
 
uvm_post_reset_phase
class typedef
defined in global
uvm_post_shutdown_phase
class
 
uvm_post_shutdown_phase
class typedef
defined in global
uvm_pre_configure_phase
class
 
uvm_pre_configure_phase
class typedef
defined in global
uvm_pre_main_phase
class
 
uvm_pre_main_phase
class typedef
defined in global
uvm_pre_reset_phase
class
 
uvm_pre_reset_phase
class typedef
defined in global
uvm_pre_shutdown_phase
class
 
uvm_pre_shutdown_phase
class typedef
defined in global
UVM_PREDICT
enum value
member of global items uvm_path_e
UVM_PREDICT_DIRECT
enum value
member of global items uvm_predict_e
uvm_predict_e
enum typedef
defined in global
UVM_PREDICT_READ
enum value
member of global items uvm_predict_e
uvm_predict_s
class
 
UVM_PREDICT_WRITE
enum value
member of global items uvm_predict_e
UVM_PREPEND
enum value
member of global items uvm_apprepend
UVM_PRINT
attribute
attribute type parameter, defined in global
uvm_print_aa_int_key4
macro
 
uvm_print_aa_int_object
macro
 
uvm_print_aa_int_object3
macro
 
uvm_print_aa_string_int
macro
 
uvm_print_aa_string_int3
macro
 
uvm_print_aa_string_object
macro
 
uvm_print_aa_string_object3
macro
 
uvm_print_aa_string_string
macro
 
uvm_print_aa_string_string2
macro
 
uvm_print_array_int
macro
 
uvm_print_array_int3
macro
 
uvm_print_array_object
macro
 
uvm_print_array_object3
macro
 
uvm_print_array_real
macro
 
uvm_print_array_real2
macro
 
uvm_print_array_string
macro
 
uvm_print_array_string2
macro
 
uvm_print_enum
macro
 
uvm_print_int
macro
 
uvm_print_int3
macro
 
uvm_print_int4
macro
 
uvm_print_object
macro
 
uvm_print_object2
macro
 
uvm_print_object_qda4
macro
 
uvm_print_object_queue
macro
 
uvm_print_object_queue3
macro
 
uvm_print_qda_enum
macro
 
uvm_print_qda_int4
macro
 
uvm_print_qda_real3
macro
 
uvm_print_queue_int
macro
 
uvm_print_queue_int3
macro
 
uvm_print_sarray_int3
macro
 
uvm_print_sarray_object
macro
 
uvm_print_sarray_object3
macro
 
uvm_print_sarray_string2
macro
 
uvm_print_string
macro
 
uvm_print_string2
macro
 
uvm_print_string_qda3
macro
 
uvm_print_string_queue
macro
 
uvm_print_string_queue2
macro
 
uvm_printer
class
 
uvm_printer
class typedef
defined in global
UVM_PRINTER_DEFINES_SVH
macro
 
uvm_printer_knobs
class
 
uvm_printer_knobs
class typedef
defined in global
uvm_printer_row_info
struct typedef
defined in global
uvm_push_driver
class
 
uvm_push_sequencer
class
 
uvm_put_export
class
 
uvm_put_imp
class
 
UVM_PUT_IMP
macro
 
uvm_put_imp_decl
macro
 
uvm_put_port
class
 
uvm_queue
class
 
UVM_QUEUE_SVH
macro
 
UVM_RADIX
attribute
attribute type parameter, defined in global
uvm_radix_enum
enum typedef
defined in global
uvm_radix_to_string
function
function, defined in global,  returns type string
UVM_RAISED
enum value
member of global items uvm_objection_event
uvm_rand_send
macro
 
uvm_rand_send_pri
macro
 
uvm_rand_send_pri_with
macro
 
uvm_rand_send_with
macro
 
uvm_random_seed_table_lookup
attribute
attribute type uvm_seed_map, defined in global
uvm_random_sequence
class
 
uvm_random_stimulus
class
 
uvm_re_match
function
function, defined in global,  returns type int
UVM_READ
enum value
member of global items uvm_access_e
UVM_READONLY
attribute
attribute type parameter, defined in global
UVM_REAL
enum value
member of global items uvm_radix_enum
UVM_REAL_DEC
enum value
member of global items uvm_radix_enum
UVM_REAL_EXP
enum value
member of global items uvm_radix_enum
UVM_RECORD
attribute
attribute type parameter, defined in global
uvm_record_attribute
macro
 
uvm_record_field
macro
 
uvm_record_int
macro
 
uvm_record_real
macro
 
uvm_record_string
macro
 
uvm_record_time
macro
 
uvm_recorder
class
 
uvm_recorder
class typedef
defined in global
uvm_recursion_policy_enum
enum typedef
defined in global
UVM_REFERENCE
enum value
member of global items uvm_recursion_policy_enum
uvm_reg
class
 
uvm_reg
class typedef
defined in global
UVM_REG
enum value
member of global items uvm_elem_kind_e
uvm_reg_access_seq
class
 
uvm_reg_adapter
class
 
uvm_reg_adapter
class typedef
defined in global
UVM_REG_ADDR_WIDTH
macro
 
uvm_reg_backdoor
class
 
uvm_reg_backdoor
class typedef
defined in global
uvm_reg_bd_cb
class typedef
defined in global
uvm_reg_bd_cb_iter
class typedef
defined in global
uvm_reg_bit_bash_seq
class
 
uvm_reg_block
class
 
uvm_reg_block
class typedef
defined in global
uvm_reg_bus_op
struct typedef
defined in global
UVM_REG_BYTENABLE_WIDTH
macro
 
uvm_reg_cb
class typedef
defined in global
uvm_reg_cb_iter
class typedef
defined in global
uvm_reg_cbs
class
 
uvm_reg_cbs
class typedef
defined in global
uvm_reg_cvr_rsrc_db
class typedef
defined in global
UVM_REG_CVR_WIDTH
macro
 
UVM_REG_DATA_WIDTH
macro
 
uvm_reg_field
class
 
uvm_reg_field
class typedef
defined in global
uvm_reg_field_cb
class typedef
defined in global
uvm_reg_field_cb_iter
class typedef
defined in global
uvm_reg_field_valid
constraint
defined in class uvm_reg_field
uvm_reg_fifo
class
 
uvm_reg_file
class
 
uvm_reg_file
class typedef
defined in global
uvm_reg_frontdoor
class
 
uvm_reg_frontdoor
class typedef
defined in global
uvm_reg_hw_reset_seq
class
 
uvm_reg_indirect_data
class
 
uvm_reg_indirect_data
class typedef
defined in global
uvm_reg_indirect_ftdr_seq
class
 
uvm_reg_indirect_ftdr_seq
class typedef
defined in global
uvm_reg_item
class
 
uvm_reg_item
class typedef
defined in global
uvm_reg_map
class
 
uvm_reg_map
class typedef
defined in global
uvm_reg_map_addr_range
struct typedef
defined in global
uvm_reg_map_info
class
 
uvm_reg_map_info
class typedef
defined in global
uvm_reg_mem_access_seq
class
 
uvm_reg_mem_built_in_seq
class
 
uvm_reg_mem_hdl_paths_seq
class
 
uvm_reg_mem_shared_access_seq
class
 
uvm_reg_mem_tests_e
enum typedef
defined in global
UVM_REG_MODEL__SV
macro
 
uvm_reg_predictor
class
 
uvm_reg_read_only_cbs
class
 
uvm_reg_sequence
class
 
uvm_reg_sequence
class typedef
defined in global
uvm_reg_shared_access_seq
class
 
uvm_reg_single_access_seq
class
 
uvm_reg_single_bit_bash_seq
class
 
uvm_reg_tlm_adapter
class
 
uvm_reg_write_only_cbs
class
 
uvm_register_cb
macro
 
UVM_REGISTRY_SVH
macro
 
uvm_report
function
function, defined in class uvm_report_object,  returns type void
uvm_report
function
function, defined in class uvm_report_catcher,  returns type void
uvm_report
function
function, defined in class uvm_sequence_item,  returns type void
uvm_report
function
function, defined in global,  returns type void
uvm_report_catcher
class
 
uvm_report_catcher
class typedef
defined in global
UVM_REPORT_CATCHER_SVH
macro
 
uvm_report_cb
class typedef
defined in global
uvm_report_cb_iter
class typedef
defined in global
UVM_REPORT_CLIENT_SVH
macro
 
uvm_report_enabled
function
function, defined in class uvm_report_object,  returns type int
uvm_report_enabled
function
function, defined in class uvm_sequence_item,  returns type int
uvm_report_enabled
function
function, defined in global,  returns type bit
uvm_report_error
function
function, defined in class uvm_report_object,  returns type void
uvm_report_error
function
function, defined in class uvm_report_catcher,  returns type void
uvm_report_error
function
function, defined in class uvm_sequence_item,  returns type void
uvm_report_error
function
function, defined in global,  returns type void
uvm_report_fatal
function
function, defined in class uvm_report_object,  returns type void
uvm_report_fatal
function
function, defined in class uvm_report_catcher,  returns type void
uvm_report_fatal
function
function, defined in class uvm_sequence_item,  returns type void
uvm_report_fatal
function
function, defined in global,  returns type void
uvm_report_global_server
class
 
uvm_report_handler
class
 
uvm_report_handler
class typedef
defined in global
UVM_REPORT_HANDLER_SVH
macro
 
uvm_report_info
function
function, defined in class uvm_report_object,  returns type void
uvm_report_info
function
function, defined in class uvm_report_catcher,  returns type void
uvm_report_info
function
function, defined in class uvm_sequence_item,  returns type void
uvm_report_info
function
function, defined in global,  returns type void
uvm_report_object
class
 
uvm_report_object
class typedef
defined in global
uvm_report_phase
class
 
uvm_report_phase
class typedef
defined in global
uvm_report_server
class
 
uvm_report_server
class typedef
defined in global
UVM_REPORT_SERVER_SVH
macro
 
uvm_report_warning
function
function, defined in class uvm_report_object,  returns type void
uvm_report_warning
function
function, defined in class uvm_report_catcher,  returns type void
uvm_report_warning
function
function, defined in class uvm_sequence_item,  returns type void
uvm_report_warning
function
function, defined in global,  returns type void
UVM_RERUN
enum value
member of global items uvm_phase_transition
uvm_reset_phase
class
 
uvm_reset_phase
class typedef
defined in global
uvm_resource
class
 
uvm_resource_base
class
 
uvm_resource_base
class typedef
defined in global
uvm_resource_db
class
 
uvm_resource_db_options
class
 
uvm_resource_db_options
class typedef
defined in global
UVM_RESOURCE_GET_FCNS
macro
 
uvm_resource_options
class
 
uvm_resource_pool
class
 
uvm_resource_types
class
 
uvm_resources
attribute
attribute type const uvm_resource_pool, defined in global
uvm_revision
attribute
attribute type parameter, defined in global
uvm_revision_string
function
function, defined in global,  returns type string
uvm_root
class
 
uvm_root
class typedef
defined in global
uvm_root_report_handler
class
 
uvm_run_phase
class
 
uvm_run_phase
class typedef
defined in global
uvm_scope_stack
class
 
uvm_scoreboard
class
 
uvm_seed_map
class
 
uvm_send
macro
 
uvm_send_pri
macro
 
UVM_SEQ_ITEM_FUNCTION_ERROR
macro
 
UVM_SEQ_ITEM_GET_MASK
macro
 
UVM_SEQ_ITEM_GET_NEXT_ITEM_MASK
macro
 
UVM_SEQ_ITEM_HAS_DO_AVAILABLE_MASK
macro
 
UVM_SEQ_ITEM_ITEM_DONE_MASK
macro
 
UVM_SEQ_ITEM_PEEK_MASK
macro
 
uvm_seq_item_pull_export
class
 
uvm_seq_item_pull_imp
class
 
UVM_SEQ_ITEM_PULL_IMP
macro
 
UVM_SEQ_ITEM_PULL_MASK
macro
 
uvm_seq_item_pull_port
class
 
UVM_SEQ_ITEM_PUSH_MASK
macro
 
UVM_SEQ_ITEM_PUT_MASK
macro
 
UVM_SEQ_ITEM_PUT_RESPONSE_MASK
macro
 
UVM_SEQ_ITEM_TASK_ERROR
macro
 
UVM_SEQ_ITEM_TRY_NEXT_ITEM_MASK
macro
 
UVM_SEQ_ITEM_UNI_PULL_MASK
macro
 
UVM_SEQ_ITEM_WAIT_FOR_SEQUENCES_MASK
macro
 
UVM_SEQ_LIB_ITEM
enum value
member of global items uvm_sequence_lib_mode
UVM_SEQ_LIB_RAND
enum value
member of global items uvm_sequence_lib_mode
UVM_SEQ_LIB_RANDC
enum value
member of global items uvm_sequence_lib_mode
UVM_SEQ_LIB_USER
enum value
member of global items uvm_sequence_lib_mode
UVM_SEQ_PORT
macro
 
uvm_sequence
class
 
uvm_sequence_base
class
 
uvm_sequence_base
class typedef
defined in global
uvm_sequence_item
class
 
uvm_sequence_item
class typedef
defined in global
uvm_sequence_lib_mode
enum typedef
defined in global
uvm_sequence_library
class
 
uvm_sequence_library_cfg
class
 
uvm_sequence_library_cfg
class typedef
defined in global
uvm_sequence_library_package
macro
 
uvm_sequence_library_utils
macro
 
uvm_sequence_request
class
 
uvm_sequence_request
class typedef
defined in global
uvm_sequence_state
enum typedef
defined in global
uvm_sequence_utils
macro
 
uvm_sequence_utils_begin
macro
 
uvm_sequence_utils_end
macro
 
uvm_sequencer
class
 
uvm_sequencer_analysis_fifo
class
 
uvm_sequencer_arb_mode
enum typedef
defined in global
uvm_sequencer_base
class
 
uvm_sequencer_base
class typedef
defined in global
uvm_sequencer_param_base
class
 
uvm_sequencer_param_utils
macro
 
uvm_sequencer_param_utils_begin
macro
 
uvm_sequencer_utils
macro
 
uvm_sequencer_utils_begin
macro
 
uvm_sequencer_utils_end
macro
 
UVM_SET
attribute
attribute type parameter, defined in global
uvm_set_super_type
macro
 
UVM_SETINT
attribute
attribute type parameter, defined in global
UVM_SETOBJ
attribute
attribute type parameter, defined in global
UVM_SETSTR
attribute
attribute type parameter, defined in global
uvm_sev_override_array
class typedef
defined in global
uvm_severity_type
enum typedef
defined in global
UVM_SHALLOW
enum value
member of global items uvm_recursion_policy_enum
uvm_shutdown_phase
class
 
uvm_shutdown_phase
class typedef
defined in global
uvm_simple_sequence
class
 
UVM_SKIPPED
enum value
member of global items uvm_phase_transition
uvm_slave_export
class
 
uvm_slave_imp
class
 
uvm_slave_imp_decl
macro
 
uvm_slave_port
class
 
UVM_SMALL_STRING
attribute
attribute type parameter, defined in global
uvm_snps_copyright
attribute
attribute type parameter, defined in global
uvm_spell_chkr
class
 
uvm_split_string
function
function, defined in global,  returns type void
uvm_sqr_if_base
class
 
UVM_START_FUNCS
attribute
attribute type parameter, defined in global
uvm_start_of_simulation_phase
class
 
uvm_start_of_simulation_phase
class typedef
defined in global
uvm_start_uvm_declarations
attribute
attribute type bit, defined in global
uvm_status_container
class
 
uvm_status_container
class typedef
defined in global
uvm_status_e
enum typedef
defined in global
UVM_STDOUT
attribute
attribute type parameter, defined in global
UVM_STOP
enum value
member of global items uvm_action_type
UVM_STR_CRC_POLYNOMIAL
attribute
attribute type parameter, defined in global
UVM_STREAMBITS
attribute
attribute type parameter, defined in global
UVM_STRING
enum value
member of global items uvm_radix_enum
uvm_string_rsrc
class
 
uvm_string_to_action
function
function, defined in global,  returns type function
uvm_string_to_bits
function
function, defined in global,  returns type logic [1:0]
uvm_string_to_severity
function
function, defined in global,  returns type bit
uvm_subscriber
class
 
uvm_table_printer
class
 
uvm_table_printer
class typedef
defined in global
uvm_table_printer_knobs
class typedef
defined in global
UVM_TASK_ERROR
macro
 
uvm_task_phase
class
 
uvm_task_phase
class typedef
defined in global
uvm_test
class
 
uvm_test_done
attribute
attribute type uvm_test_done_objection, defined in global
uvm_test_done_objection
class
 
uvm_test_done_objection
class typedef
defined in global
UVM_TIME
enum value
member of global items uvm_radix_enum
UVM_TLM_ACCEPTED
enum value
member of global items uvm_tlm_sync_e
UVM_TLM_ADDRESS_ERROR_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
uvm_tlm_analysis_fifo
class
 
UVM_TLM_ANALYSIS_MASK
macro
 
uvm_tlm_b_initiator_socket
class
 
uvm_tlm_b_initiator_socket_base
class
 
UVM_TLM_B_MASK
macro
 
uvm_tlm_b_passthrough_initiator_socket
class
 
uvm_tlm_b_passthrough_initiator_socket_base
class
 
uvm_tlm_b_passthrough_target_socket
class
 
uvm_tlm_b_passthrough_target_socket_base
class
 
uvm_tlm_b_target_socket
class
 
uvm_tlm_b_target_socket_base
class
 
uvm_tlm_b_transport_export
class
 
uvm_tlm_b_transport_imp
class
 
UVM_TLM_B_TRANSPORT_IMP
macro
 
uvm_tlm_b_transport_port
class
 
UVM_TLM_BLOCKING_GET_MASK
macro
 
UVM_TLM_BLOCKING_GET_PEEK_MASK
macro
 
UVM_TLM_BLOCKING_MASTER_MASK
macro
 
UVM_TLM_BLOCKING_PEEK_MASK
macro
 
UVM_TLM_BLOCKING_PUT_MASK
macro
 
UVM_TLM_BLOCKING_SLAVE_MASK
macro
 
UVM_TLM_BLOCKING_TRANSPORT_MASK
macro
 
UVM_TLM_BURST_ERROR_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
uvm_tlm_command_e
enum typedef
defined in global
UVM_TLM_COMMAND_ERROR_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
UVM_TLM_COMPLETED
enum value
member of global items uvm_tlm_sync_e
uvm_tlm_event
class
 
uvm_tlm_event
class typedef
defined in global
uvm_tlm_extension
class
 
uvm_tlm_extension_base
class
 
uvm_tlm_extension_base
class typedef
defined in global
uvm_tlm_fifo
class
 
uvm_tlm_fifo_base
class
 
UVM_TLM_FIFO_FUNCTION_ERROR
macro
 
UVM_TLM_FIFO_TASK_ERROR
macro
 
UVM_TLM_FUNCTION_ERROR
macro
 
UVM_TLM_GENERIC_ERROR_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
uvm_tlm_generic_payload
class
 
UVM_TLM_GET_MASK
macro
 
UVM_TLM_GET_PEEK_MASK
macro
 
UVM_TLM_GET_TYPE_NAME
macro
 
uvm_tlm_gp
class typedef
defined in global
uvm_tlm_if
class
 
uvm_tlm_if_base
class
 
UVM_TLM_IGNORE_COMMAND
enum value
member of global items uvm_tlm_command_e
UVM_TLM_IMPS_SVH
macro
 
UVM_TLM_INCOMPLETE_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
UVM_TLM_MASTER_BIT_MASK
macro
 
UVM_TLM_MASTER_MASK
macro
 
UVM_TLM_NB_BW_MASK
macro
 
UVM_TLM_NB_FW_MASK
macro
 
uvm_tlm_nb_initiator_socket
class
 
uvm_tlm_nb_initiator_socket_base
class
 
uvm_tlm_nb_passthrough_initiator_socket
class
 
uvm_tlm_nb_passthrough_initiator_socket_base
class
 
uvm_tlm_nb_passthrough_target_socket
class
 
uvm_tlm_nb_passthrough_target_socket_base
class
 
uvm_tlm_nb_target_socket
class
 
uvm_tlm_nb_target_socket_base
class
 
uvm_tlm_nb_transport_bw_export
class
 
uvm_tlm_nb_transport_bw_imp
class
 
UVM_TLM_NB_TRANSPORT_BW_IMP
macro
 
uvm_tlm_nb_transport_bw_port
class
 
uvm_tlm_nb_transport_fw_export
class
 
uvm_tlm_nb_transport_fw_imp
class
 
UVM_TLM_NB_TRANSPORT_FW_IMP
macro
 
uvm_tlm_nb_transport_fw_port
class
 
UVM_TLM_NONBLOCKING_GET_MASK
macro
 
UVM_TLM_NONBLOCKING_GET_PEEK_MASK
macro
 
UVM_TLM_NONBLOCKING_MASTER_MASK
macro
 
UVM_TLM_NONBLOCKING_PEEK_MASK
macro
 
UVM_TLM_NONBLOCKING_PUT_MASK
macro
 
UVM_TLM_NONBLOCKING_SLAVE_MASK
macro
 
UVM_TLM_NONBLOCKING_TRANSPORT_MASK
macro
 
UVM_TLM_OK_RESPONSE
enum value
member of global items uvm_tlm_response_status_e
UVM_TLM_PEEK_MASK
macro
 
uvm_tlm_phase_e
enum typedef
defined in global
UVM_TLM_PUT_MASK
macro
 
UVM_TLM_READ_COMMAND
enum value
member of global items uvm_tlm_command_e
uvm_tlm_req_rsp_channel
class
 
uvm_tlm_response_status_e
enum typedef
defined in global
UVM_TLM_SLAVE_BIT_MASK
macro
 
UVM_TLM_SLAVE_MASK
macro
 
uvm_tlm_sync_e
enum typedef
defined in global
UVM_TLM_TASK_ERROR
macro
 
uvm_tlm_time
class
 
uvm_tlm_transport_channel
class
 
UVM_TLM_TRANSPORT_MASK
macro
 
UVM_TLM_UPDATED
enum value
member of global items uvm_tlm_sync_e
UVM_TLM_WRITE_COMMAND
enum value
member of global items uvm_tlm_command_e
uvm_top
attribute
attribute type const uvm_root, defined in global
uvm_topdown_phase
class
 
uvm_transaction
class
 
uvm_transport_export
class
 
uvm_transport_imp
class
 
UVM_TRANSPORT_IMP
macro
 
uvm_transport_imp_decl
macro
 
uvm_transport_port
class
 
uvm_tree_printer
class
 
uvm_tree_printer
class typedef
defined in global
uvm_tree_printer_knobs
class typedef
defined in global
uvm_typed_callbacks
class
 
uvm_typeid
class
 
uvm_typeid_base
class
 
uvm_typename
macro
 
UVM_UNBOUNDED_CONNECTIONS
attribute
attribute type const int, defined in global
UVM_UNFORMAT2
enum value
member of global items uvm_radix_enum
UVM_UNFORMAT4
enum value
member of global items uvm_radix_enum
UVM_UNPACK
attribute
attribute type parameter, defined in global
uvm_unpack_array
macro
 
uvm_unpack_arrayN
macro
 
uvm_unpack_enum
macro
 
uvm_unpack_enumN
macro
 
uvm_unpack_int
macro
 
uvm_unpack_intN
macro
 
uvm_unpack_queue
macro
 
uvm_unpack_queueN
macro
 
uvm_unpack_real
macro
 
uvm_unpack_sarray
macro
 
uvm_unpack_sarrayN
macro
 
uvm_unpack_string
macro
 
UVM_UNSIGNED
enum value
member of global items uvm_radix_enum
uvm_update_sequence_lib
macro
 
uvm_update_sequence_lib_and_item
macro
 
uvm_user_bottomup_phase
macro
 
uvm_user_task_phase
macro
 
uvm_user_topdown_phase
macro
 
uvm_utils
class
 
uvm_vector_to_string
function
function, defined in global,  returns type string
uvm_verbosity
enum typedef
defined in global
UVM_VERSION_1_1
macro
 
UVM_VERSION_DEFINES_SVH
macro
 
UVM_VERSION_STRING
macro
 
UVM_VERSION_SVH
macro
 
uvm_virtual_sequencer
class typedef
defined in global
uvm_void
class
 
uvm_vreg
class
 
uvm_vreg
class typedef
defined in global
uvm_vreg_cb
class typedef
defined in global
uvm_vreg_cb_iter
class typedef
defined in global
uvm_vreg_cbs
class
 
uvm_vreg_cbs
class typedef
defined in global
uvm_vreg_field
class
 
uvm_vreg_field
class typedef
defined in global
uvm_vreg_field_cb
class typedef
defined in global
uvm_vreg_field_cb_iter
class typedef
defined in global
uvm_vreg_field_cbs
class
 
uvm_vreg_field_cbs
class typedef
defined in global
uvm_wait_for_nba_region
task
defined in global
uvm_wait_op
enum typedef
defined in global
UVM_WARNING
enum value
member of global items uvm_severity_type
uvm_warning
macro
 
uvm_warning_context
macro
 
UVM_WRITE
enum value
member of global items uvm_access_e