SVT - uvm Class List

Here are the uvm classes with brief descriptions:
uvm_reg_mem_hdl_paths_seq Description-Unavailable
uvm_reg_mem_built_in_seq Description-Unavailable
uvm_reg_mem_shared_access_seq Description-Unavailable
uvm_mem_shared_access_seq Description-Unavailable
uvm_reg_shared_access_seq Description-Unavailable
uvm_reg_mem_access_seq Description-Unavailable
uvm_reg_access_seq Description-Unavailable
uvm_reg_single_access_seq Description-Unavailable
uvm_mem_access_seq Description-Unavailable
uvm_mem_single_access_seq Description-Unavailable
uvm_mem_walk_seq Description-Unavailable
uvm_mem_single_walk_seq Description-Unavailable
uvm_reg_bit_bash_seq Description-Unavailable
uvm_reg_single_bit_bash_seq Description-Unavailable
uvm_reg_hw_reset_seq Description-Unavailable
uvm_vreg_cbs Description-Unavailable
uvm_mem_mam Description-Unavailable
uvm_mem_mam_policy Description-Unavailable
uvm_mem_region Description-Unavailable
uvm_mem_mam_cfg Description-Unavailable
uvm_reg_fifo Description-Unavailable
uvm_reg_indirect_ftdr_seq Description-Unavailable
uvm_vreg_field_cbs Description-Unavailable
uvm_reg_write_only_cbs Description-Unavailable
uvm_reg_read_only_cbs Description-Unavailable
uvm_reg_cbs Description-Unavailable
uvm_reg_backdoor Description-Unavailable
uvm_reg_frontdoor Description-Unavailable
uvm_reg_predictor Description-Unavailable
uvm_predict_s Description-Unavailable
uvm_reg_tlm_adapter Description-Unavailable
uvm_hdl_path_concat Description-Unavailable
uvm_reg_indirect_data Description-Unavailable
uvm_reg_adapter Description-Unavailable
uvm_reg_sequence Description-Unavailable
uvm_reg_map_info Description-Unavailable
uvm_reg_map Description-Unavailable
uvm_reg_item Description-Unavailable
uvm_mem Description-Unavailable
uvm_reg_block Description-Unavailable
uvm_vreg Description-Unavailable
uvm_reg_file Description-Unavailable
uvm_reg Description-Unavailable
uvm_vreg_field Description-Unavailable
uvm_reg_field Description-Unavailable
uvm_tlm_nb_passthrough_target_socket Description-Unavailable
uvm_tlm_nb_passthrough_initiator_socket Description-Unavailable
uvm_tlm_b_passthrough_target_socket Description-Unavailable
uvm_tlm_b_passthrough_initiator_socket Description-Unavailable
uvm_tlm_nb_target_socket Description-Unavailable
uvm_tlm_nb_initiator_socket Description-Unavailable
uvm_tlm_b_target_socket Description-Unavailable
uvm_tlm_b_initiator_socket Description-Unavailable
uvm_tlm_b_passthrough_target_socket_base Description-Unavailable
uvm_tlm_b_passthrough_initiator_socket_base Description-Unavailable
uvm_tlm_nb_passthrough_target_socket_base Description-Unavailable
uvm_tlm_nb_passthrough_initiator_socket_base Description-Unavailable
uvm_tlm_nb_initiator_socket_base Description-Unavailable
uvm_tlm_nb_target_socket_base Description-Unavailable
uvm_tlm_b_initiator_socket_base Description-Unavailable
uvm_tlm_b_target_socket_base Description-Unavailable
uvm_tlm_nb_transport_bw_export Description-Unavailable
uvm_tlm_nb_transport_fw_export Description-Unavailable
uvm_tlm_b_transport_export Description-Unavailable
uvm_tlm_nb_transport_bw_port Description-Unavailable
uvm_tlm_nb_transport_fw_port Description-Unavailable
uvm_tlm_b_transport_port Description-Unavailable
uvm_tlm_nb_transport_bw_imp Description-Unavailable
uvm_tlm_nb_transport_fw_imp Description-Unavailable
uvm_tlm_b_transport_imp Description-Unavailable
uvm_tlm_if Description-Unavailable
uvm_tlm_extension Description-Unavailable
uvm_tlm_generic_payload Description-Unavailable
uvm_tlm_extension_base Description-Unavailable
uvm_tlm_time Description-Unavailable
uvm_simple_sequence Description-Unavailable
uvm_exhaustive_sequence Description-Unavailable
uvm_random_sequence Description-Unavailable
uvm_sequence_library Description-Unavailable
uvm_sequence_library_cfg Description-Unavailable
uvm_sequence Description-Unavailable
uvm_push_sequencer Description-Unavailable
uvm_sequencer Description-Unavailable
uvm_sequencer_param_base Description-Unavailable
uvm_sequencer_analysis_fifo Description-Unavailable
uvm_sequence_request Description-Unavailable
uvm_test Description-Unavailable
uvm_agent Description-Unavailable
uvm_scoreboard Description-Unavailable
uvm_push_driver Description-Unavailable
uvm_driver Description-Unavailable
uvm_monitor Description-Unavailable
uvm_subscriber Description-Unavailable
uvm_random_stimulus Description-Unavailable
uvm_algorithmic_comparator Description-Unavailable
uvm_in_order_class_comparator Description-Unavailable
uvm_in_order_built_in_comparator Description-Unavailable
uvm_in_order_comparator Description-Unavailable
uvm_class_clone Description-Unavailable
uvm_class_converter Description-Unavailable
uvm_class_comp Description-Unavailable
uvm_built_in_clone Description-Unavailable
uvm_built_in_converter Description-Unavailable
uvm_built_in_comp Description-Unavailable
uvm_built_in_pair Description-Unavailable
uvm_class_pair Description-Unavailable
uvm_seq_item_pull_imp Description-Unavailable
uvm_seq_item_pull_export Description-Unavailable
uvm_seq_item_pull_port Description-Unavailable
uvm_tlm_transport_channel Description-Unavailable
uvm_tlm_req_rsp_channel Description-Unavailable
uvm_tlm_analysis_fifo Description-Unavailable
uvm_tlm_fifo Description-Unavailable
uvm_tlm_fifo_base Description-Unavailable
uvm_tlm_event Description-Unavailable
uvm_analysis_export Description-Unavailable
uvm_analysis_imp Description-Unavailable
uvm_analysis_port Description-Unavailable
uvm_transport_export Description-Unavailable
uvm_nonblocking_transport_export Description-Unavailable
uvm_blocking_transport_export Description-Unavailable
uvm_slave_export Description-Unavailable
uvm_nonblocking_slave_export Description-Unavailable
uvm_blocking_slave_export Description-Unavailable
uvm_master_export Description-Unavailable
uvm_nonblocking_master_export Description-Unavailable
uvm_blocking_master_export Description-Unavailable
uvm_get_peek_export Description-Unavailable
uvm_nonblocking_get_peek_export Description-Unavailable
uvm_blocking_get_peek_export Description-Unavailable
uvm_peek_export Description-Unavailable
uvm_nonblocking_peek_export Description-Unavailable
uvm_blocking_peek_export Description-Unavailable
uvm_get_export Description-Unavailable
uvm_nonblocking_get_export Description-Unavailable
uvm_blocking_get_export Description-Unavailable
uvm_put_export Description-Unavailable
uvm_nonblocking_put_export Description-Unavailable
uvm_blocking_put_export Description-Unavailable
uvm_transport_port Description-Unavailable
uvm_nonblocking_transport_port Description-Unavailable
uvm_blocking_transport_port Description-Unavailable
uvm_slave_port Description-Unavailable
uvm_nonblocking_slave_port Description-Unavailable
uvm_blocking_slave_port Description-Unavailable
uvm_master_port Description-Unavailable
uvm_nonblocking_master_port Description-Unavailable
uvm_blocking_master_port Description-Unavailable
uvm_get_peek_port Description-Unavailable
uvm_nonblocking_get_peek_port Description-Unavailable
uvm_blocking_get_peek_port Description-Unavailable
uvm_peek_port Description-Unavailable
uvm_nonblocking_peek_port Description-Unavailable
uvm_blocking_peek_port Description-Unavailable
uvm_get_port Description-Unavailable
uvm_nonblocking_get_port Description-Unavailable
uvm_blocking_get_port Description-Unavailable
uvm_put_port Description-Unavailable
uvm_nonblocking_put_port Description-Unavailable
uvm_blocking_put_port Description-Unavailable
uvm_transport_imp Description-Unavailable
uvm_nonblocking_transport_imp Description-Unavailable
uvm_blocking_transport_imp Description-Unavailable
uvm_slave_imp Description-Unavailable
uvm_nonblocking_slave_imp Description-Unavailable
uvm_blocking_slave_imp Description-Unavailable
uvm_master_imp Description-Unavailable
uvm_nonblocking_master_imp Description-Unavailable
uvm_blocking_master_imp Description-Unavailable
uvm_get_peek_imp Description-Unavailable
uvm_nonblocking_get_peek_imp Description-Unavailable
uvm_blocking_get_peek_imp Description-Unavailable
uvm_peek_imp Description-Unavailable
uvm_nonblocking_peek_imp Description-Unavailable
uvm_blocking_peek_imp Description-Unavailable
uvm_get_imp Description-Unavailable
uvm_nonblocking_get_imp Description-Unavailable
uvm_blocking_get_imp Description-Unavailable
uvm_put_imp Description-Unavailable
uvm_nonblocking_put_imp Description-Unavailable
uvm_blocking_put_imp Description-Unavailable
uvm_port_base Description-Unavailable
uvm_port_component Description-Unavailable
uvm_port_component_base Description-Unavailable
uvm_sqr_if_base Description-Unavailable
uvm_tlm_if_base Description-Unavailable
uvm_cmd_line_verb Description-Unavailable
uvm_heartbeat Description-Unavailable
uvm_heartbeat_callback Description-Unavailable
uvm_objection_events Description-Unavailable
uvm_callbacks_objection Description-Unavailable
uvm_objection_callback Description-Unavailable
uvm_objection_context_object Description-Unavailable
uvm_config_object_wrapper Description-Unavailable
uvm_root_report_handler Description-Unavailable
uvm_sequence_item Description-Unavailable
uvm_sequence_base Description-Unavailable
uvm_topdown_phase Description-Unavailable
uvm_bottomup_phase Description-Unavailable
uvm_post_shutdown_phase Description-Unavailable
uvm_shutdown_phase Description-Unavailable
uvm_pre_shutdown_phase Description-Unavailable
uvm_post_main_phase Description-Unavailable
uvm_main_phase Description-Unavailable
uvm_pre_main_phase Description-Unavailable
uvm_post_configure_phase Description-Unavailable
uvm_configure_phase Description-Unavailable
uvm_pre_configure_phase Description-Unavailable
uvm_post_reset_phase Description-Unavailable
uvm_reset_phase Description-Unavailable
uvm_pre_reset_phase Description-Unavailable
uvm_final_phase Description-Unavailable
uvm_report_phase Description-Unavailable
uvm_check_phase Description-Unavailable
uvm_extract_phase Description-Unavailable
uvm_run_phase Description-Unavailable
uvm_start_of_simulation_phase Description-Unavailable
uvm_end_of_elaboration_phase Description-Unavailable
uvm_connect_phase Description-Unavailable
uvm_build_phase Description-Unavailable
uvm_task_phase Description-Unavailable
uvm_domain Description-Unavailable
uvm_sequencer_base Description-Unavailable
uvm_test_done_objection Description-Unavailable
uvm_transaction Description-Unavailable
uvm_env Description-Unavailable
uvm_report_global_server Description-Unavailable
sev_id_struct Description-Unavailable
uvm_report_catcher Description-Unavailable
uvm_report_server Description-Unavailable
uvm_report_handler Description-Unavailable
uvm_callback_iter Description-Unavailable
uvm_derived_callbacks Description-Unavailable
uvm_callbacks Description-Unavailable
uvm_typed_callbacks Description-Unavailable
uvm_typeid Description-Unavailable
uvm_typeid_base Description-Unavailable
uvm_callbacks_base Description-Unavailable
uvm_callback Description-Unavailable
uvm_event_callback Description-Unavailable
uvm_printer_knobs Description-Unavailable
uvm_config_db Description-Unavailable
uvm_config_db_options Description-Unavailable
m_uvm_waiter Description-Unavailable
uvm_phase Description-Unavailable
uvm_resource_db Description-Unavailable
uvm_resource_db_options Description-Unavailable
uvm_byte_rsrc Description-Unavailable
uvm_bit_rsrc Description-Unavailable
uvm_obj_rsrc Description-Unavailable
uvm_string_rsrc Description-Unavailable
uvm_int_rsrc Description-Unavailable
uvm_resource Description-Unavailable
uvm_resource_pool Description-Unavailable
get_t Description-Unavailable
uvm_resource_options Description-Unavailable
uvm_resource_types Description-Unavailable
uvm_resource_base Description-Unavailable
uvm_spell_chkr Description-Unavailable
uvm_object_registry Description-Unavailable
uvm_component_registry Description-Unavailable
uvm_factory Description-Unavailable
uvm_factory_queue_class Description-Unavailable
uvm_factory_override Description-Unavailable
uvm_queue Description-Unavailable
uvm_event Description-Unavailable
uvm_barrier Description-Unavailable
uvm_object_string_pool Description-Unavailable
uvm_pool Description-Unavailable
uvm_objection Description-Unavailable
uvm_object_wrapper Description-Unavailable
uvm_report_object Description-Unavailable
uvm_utils Description-Unavailable
uvm_root Description-Unavailable
uvm_component Description-Unavailable
uvm_seed_map Description-Unavailable
uvm_copy_map Description-Unavailable
uvm_status_container Description-Unavailable
uvm_scope_stack Description-Unavailable
uvm_object Description-Unavailable
uvm_void Description-Unavailable
uvm_recorder Description-Unavailable
uvm_packer Description-Unavailable
uvm_comparer Description-Unavailable
uvm_line_printer Description-Unavailable
uvm_tree_printer Description-Unavailable
uvm_table_printer Description-Unavailable
uvm_printer Description-Unavailable
uvm_cmdline_processor Description-Unavailable