|
aborted_xact_status |
attribute |
attribute type svt_ahb_transaction :: aborted_xact_status_enum, defined in class svt_ahb_transaction |
|
abstract |
attribute |
attribute type bit, defined in class uvm_comparer |
| abstract |
attribute |
attribute type bit, defined in class uvm_packer |
| abstract |
attribute |
attribute type bit, defined in class uvm_recorder |
|
abstractions |
attribute |
attribute type string, defined in class uvm_reg_mem_hdl_paths_seq |
|
access |
attribute |
attribute type access_t, defined in class uvm_resource_base |
|
active_duration |
attribute |
attribute type real, defined in class svt_amba_perf_calc_base |
|
active_participating_masters |
attribute |
attribute type int, defined in class svt_ahb_system_base_sequence |
|
active_participating_slave_index_0 |
attribute |
attribute type rand int unsigned, defined in class svt_ahb_system_base_sequence |
|
active_participating_slaves |
attribute |
attribute type int, defined in class svt_ahb_system_base_sequence |
|
active_xml_start_time |
attribute |
attribute type realtime, defined in class svt_sequence_item |
|
adapter |
attribute |
attribute type uvm_reg_adapter, defined in class uvm_reg_sequence |
| adapter |
attribute |
attribute type uvm_reg_adapter, defined in class uvm_reg_predictor |
|
addr |
attribute |
attribute type uvm_reg_addr_t, defined in class uvm_reg_map_info |
| addr |
attribute |
attribute type bit, defined in class uvm_predict_s |
| addr |
attribute |
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_transaction |
| addr |
attribute |
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_write_xact_sequence |
| addr |
attribute |
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_read_xact_sequence |
|
addr_gen_type |
attribute |
attribute type rand svt_traffic_profile_transaction :: addr_val_type_enum, defined in class svt_traffic_profile_transaction |
|
addr_idle_value |
attribute |
attribute type svt_ahb_configuration :: idle_val_enum, defined in class svt_ahb_configuration |
|
addr_last |
attribute |
attribute type protected bit [1023:0] , defined in class svt_ahb_master_monitor_def_cov_data_callback |
| addr_last |
attribute |
attribute type protected bit [1023:0] , defined in class svt_ahb_slave_monitor_def_cov_data_callback |
|
addr_region |
attribute |
attribute type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in class svt_mem |
|
addr_twodim_stride |
attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
|
addr_twodim_yrange |
attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
|
addr_width |
attribute |
attribute type rand int, defined in class svt_ahb_configuration |
|
addr_xrange |
attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
|
after_export |
attribute |
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator |
| after_export |
attribute |
attribute type uvm_analysis_export, defined in class uvm_algorithmic_comparator |
|
agent |
attribute |
attribute type svt_ahb_slave_agent, defined in class svt_ahb_slave_tlm_response_sequence |
|
ahb3 |
attribute |
attribute type bit, defined in class svt_ahb_system_configuration |
|
ahb5 |
attribute |
attribute type bit, defined in class svt_ahb_system_configuration |
|
ahb5_ctrl_or_addr_phase_parity_check_signals_changed_during_busy |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_ctrl_or_addr_phase_parity_check_signals_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_ctrl_or_addr_phase_parity_check_signals_changed_end_of_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_response_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_addr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_burst_length_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_burst_size_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_burst_type_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_id_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_no_busy_transfer_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_read_write_prot_type_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_write_response_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_exclusive_xact_overlap_with_another_exclusive_sequence_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hexokay_asserted_when_hresp_asserted |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hexokay_asserted_without_hready_asserted |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hmaster_implementation |
attribute |
attribute type rand svt_ahb_system_configuration :: ahb5_hmaster_implementation_enum, defined in class svt_ahb_system_configuration |
|
ahb5_hrdatachk_parity_calculated_hrdata_parity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_htranschk_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwdata_huser_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwdata_huserchk_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwdatachk_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwdatachk_parity_calculated_hwdata_parity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwstrb_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_hwstrbchk_changed_during_wait_state |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_illegal_control_parity_check_signals_transition |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_outstanding_exclusive_xact_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_received_parity_calculated_parity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_control_huser_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_control_huserchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_haddrchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hctrlchk1_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hctrlchk2_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hprotchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hrdata_huser_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hrdata_huserchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hrdatachk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hready_inchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hreadychk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hrespchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hselchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_htranschk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hwdata_huser_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hwdata_huserchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hwdatachk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hwstrb_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_hwstrbchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_resp_huser_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb5_signal_valid_resp_huserchk_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb_address_phase_extended |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb_external_port_cfg |
attribute |
attribute type svt_ahb_master_configuration, defined in class svt_ahb_master_agent |
| ahb_external_port_cfg |
attribute |
attribute type svt_ahb_slave_configuration, defined in class svt_ahb_slave_agent |
|
ahb_external_port_id |
attribute |
attribute type int, defined in class svt_ahb_master_agent |
| ahb_external_port_id |
attribute |
attribute type int, defined in class svt_ahb_slave_agent |
|
ahb_interface_type |
attribute |
attribute type rand svt_ahb_bus_configuration :: ahb_interface_type_enum, defined in class svt_ahb_bus_configuration |
|
ahb_lite |
attribute |
attribute type bit, defined in class svt_ahb_system_configuration |
|
ahb_lite_multilayer |
attribute |
attribute type bit, defined in class svt_ahb_system_configuration |
|
ahb_lite_retry_response |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb_lite_split_response |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
ahb_master_transaction_fifo |
attribute |
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback |
|
ahb_monitor_mp |
attribute |
attribute type protected AHB_MASTER_IF_MP, defined in class svt_ahb_master_monitor_def_cov_data_callback |
| ahb_monitor_mp |
attribute |
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_state_cov_callback |
| ahb_monitor_mp |
attribute |
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| ahb_monitor_mp |
attribute |
attribute type protected AHB_SLAVE_IF_MP, defined in class svt_ahb_slave_monitor_def_cov_data_callback |
| ahb_monitor_mp |
attribute |
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| ahb_monitor_mp |
attribute |
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_state_cov_callback |
|
ahb_reg_trans |
attribute |
attribute type svt_ahb_master_reg_transaction, defined in class svt_ahb_reg_adapter |
|
ahb_regmodel |
attribute |
attribute type uvm_reg_block, defined in class svt_ahb_master_agent |
|
ahb_slave_mem |
attribute |
attribute type svt_mem, defined in class svt_ahb_slave_agent |
|
ahb_slave_transaction_fifo |
attribute |
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback |
|
ahb_valid_beat_address_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
|
all_beat_resp_huser |
attribute |
attribute type bit [SVT_AHB_MAX_RESP_USER_WIDTH-1:0], defined in class svt_ahb_transaction |
|
all_beat_response |
attribute |
attribute type svt_ahb_transaction :: response_type_enum, defined in class svt_ahb_transaction |
|
all_dropped |
attribute |
attribute type event, defined in class uvm_objection_events |
|
allow_both_continue_and_abort_on_error_resp_policy |
attribute |
attribute type bit, defined in class svt_ahb_master_configuration |
|
allow_slaves_with_overlapping_addr |
attribute |
attribute type rand bit, defined in class svt_ahb_system_configuration |
|
allowed_error_count_per_xact |
attribute |
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence |
|
allowed_retry_count_per_xact |
attribute |
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence |
|
allowed_split_count_per_xact |
attribute |
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence |
|
amba_ahb_master_transaction_fifo |
attribute |
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback |
|
amba_ahb_slave_transaction_fifo |
attribute |
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback |
|
amba_fifo_curr_fill_level |
attribute |
attribute type real, defined in class svt_amba_fifo_rate_control |
|
amba_system_port_id |
attribute |
attribute type int, defined in class svt_ahb_configuration |
|
amba_total_expected_fill_level |
attribute |
attribute type real, defined in class svt_amba_fifo_rate_control |
|
analysis_export |
attribute |
attribute type uvm_analysis_imp, defined in class uvm_tlm_analysis_fifo |
| analysis_export |
attribute |
attribute type uvm_analysis_imp, defined in class uvm_subscriber |
| analysis_export |
attribute |
attribute type uvm_analysis_imp, defined in class uvm_sequencer_analysis_fifo |
|
analysis_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_dispatch |
|
apply_data_ready |
attribute |
attribute type uvm_event, defined in class svt_ahb_master_transaction_sequencer |
|
arb_completed |
attribute |
attribute type protected bit, defined in class uvm_sequencer_base |
|
arb_sequence_q |
attribute |
attribute type protected uvm_sequence_request, defined in class uvm_sequencer_base |
|
arbiter |
attribute |
attribute type svt_ahb_arbiter, defined in class svt_ahb_bus_env |
|
arbiter_asserted_hmaster_ne_granted_master |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
arbiter_asserted_hmastlock_without_hlock |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
arbiter_asserted_multi_hgrant |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
arbiter_changed_hmaster_during_lock |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
arbiter_changed_hmaster_during_wait |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
arbiter_lock_last_grant |
attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
|
array_warning_done |
attribute |
attribute type bit, defined in class uvm_status_container |
|
assert_hbusreq_for_one_cycle_after_bus_ownership_granted |
attribute |
attribute type rand bit, defined in class svt_ahb_master_configuration |
|
auto_parity_gen_enable |
attribute |
attribute type bit, defined in class svt_ahb_transaction |