How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| uid | attribute |
attribute type string, defined in class svt_pa_object_data |
| uid | function arg |
arg type string, defined in function svt_pa_object_data :: new |
| uid | function arg |
arg type string, defined in function svt_sequence_item_base :: get_pa_obj_data |
| uid | function arg |
arg type string, defined in function svt_sequence_item :: get_pa_obj_data |
| uid | function arg |
arg type string, defined in function svt_atb_transaction :: get_pa_obj_data |
| uid | function arg |
arg type string, defined in function svt_atb_master_transaction :: get_pa_obj_data |
| uid | function arg |
arg type string, defined in function svt_atb_slave_transaction :: get_pa_obj_data |
| UNASSIGNED | enum value |
member of svt_sequence_item_base :: kind_enum |
| unfilter | function |
function, defined in class svt_err_check_report_catcher, returns type void |
| unfilter_error | function |
function, defined in class svt_err_check, returns type void |
| ungrab | function |
function, defined in class uvm_sequencer_base, returns type void |
| ungrab | function |
function, defined in class uvm_sequence_base, returns type void |
| UNINITIALIZED_PHASE | enum value |
member of global items uvm_tlm_phase_e |
| UNIQUE | enum value |
member of svt_traffic_profile_transaction :: attr_val_type_enum |
| unique_id | function arg |
arg type string, defined in function svt_err_check :: find |
| unique_id | function arg |
arg type string, defined in function svt_err_check :: get_err_check_stats |
| unique_id | function arg |
arg type string, defined in function svt_err_check :: get_check_stats |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_atid_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_atready_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_atdata_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_atbytes_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_afready_when_afvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_stable_atid_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_stable_atdata_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_stable_atbytes_when_atvalid_high_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_atvalid_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_afvalid_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_signal_valid_syncreq_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_atvalid_interrupted_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_afvalid_interrupted_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_atvalid_low_when_reset_is_active_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_afvalid_low_when_reset_is_active_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_syncreq_low_when_reset_is_active_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_atid_reserved_val_check :: set_unique_id |
| unique_id | function arg |
arg type string, defined in function svt_err_check_stats_cov_atdata_valid_val_check :: set_unique_id |
| UNKNOWN_ACTION | enum value |
member of uvm_report_catcher :: action_e |
| UNKNOWNS | enum value |
member of uvm_mem :: init_e |
| UNKNOWNS | enum value |
member of svt_mem :: meminit_enum |
| unlock | function |
function, defined in class uvm_sequencer_base, returns type void |
| unlock | function |
function, defined in class uvm_sequence_base, returns type void |
| unmapped | function arg |
arg type bit, defined in function uvm_reg :: set_offset |
| unmapped | function arg |
arg type bit, defined in function uvm_mem :: set_offset |
| unmapped | function arg |
arg type bit, defined in function uvm_reg_map :: add_reg |
| unmapped | function arg |
arg type bit, defined in function uvm_reg_map :: add_mem |
| unmapped | function arg |
arg type bit, defined in function uvm_reg_map :: m_set_reg_offset |
| unmapped | function arg |
arg type bit, defined in function uvm_reg_map :: m_set_mem_offset |
| unmapped | attribute |
attribute type bit, defined in class uvm_reg_map_info |
| unpack | function |
function, defined in class uvm_object, returns type int |
| unpack_byte_stream_to_data | function |
function, defined in class svt_atb_transaction, returns type void |
| unpack_bytes | function |
function, defined in class uvm_object, returns type int |
| unpack_field | function |
function, defined in class uvm_packer, returns type uvm_bitstream_t |
| unpack_field_int | function |
function, defined in class uvm_packer, returns type logic [63:0] |
| unpack_ints | function |
function, defined in class uvm_object, returns type int |
| unpack_object | function |
function, defined in class uvm_packer, returns type void |
| unpack_object_ext | function |
function, defined in class uvm_packer, returns type void |
| unpack_pattern_data | function |
function, defined in class svt_sequence_item_base, returns type void |
| unpack_real | function |
function, defined in class uvm_packer, returns type real |
| unpack_string | function |
function, defined in class uvm_packer, returns type string |
| unpack_time | function |
function, defined in class uvm_packer, returns type time |
| unpacked_data | function arg |
arg type output bit [SVT_ATB_MAX_DATA_WIDTH-1:0], defined in function svt_atb_transaction :: unpack_byte_stream_to_data |
| unregister_check | function |
function, defined in class svt_err_check, returns type void |
| unregister_err_check_stats | function |
function, defined in class svt_err_check, returns type void |
| unscramble | function |
function, defined in class svt_data_converter, returns type void |
| unscramble | function |
function, defined in class svt_sequence_item, returns type void |
| unset_arg | function |
function, defined in class uvm_scope_stack, returns type void |
| unsigned_radix | attribute |
attribute type string, defined in class uvm_printer_knobs |
| unsync | function |
function, defined in class uvm_phase, returns type void |
| up | function |
function, defined in class uvm_scope_stack, returns type void |
| up_element | function |
function, defined in class uvm_scope_stack, returns type void |
| update | task |
defined in class uvm_reg |
| update | task |
defined in class uvm_reg_block |
| update | task |
defined in class uvm_reg_indirect_data |
| update | task |
defined in class uvm_reg_fifo |
| update_fifo_levels_every_clock | function |
function, defined in class svt_fifo_rate_control, returns type void |
| update_fifo_levels_every_clock | task |
defined in class svt_amba_fifo_rate_control |
| update_fifo_levels_on_data_xmit | task |
defined in class svt_fifo_rate_control |
| update_fifo_levels_on_data_xmit | task |
defined in class svt_amba_fifo_rate_control |
| update_reg | task |
defined in class uvm_reg_sequence |
| update_sequence_id | function arg |
arg type bit, defined in function uvm_sequence_base :: m_get_sqr_sequence_id |
| update_total_expected_fill_levels | task |
defined in class svt_fifo_rate_control |
| update_total_expected_fill_levels | task |
defined in class svt_amba_fifo_rate_control |
| UPSTREAM | enum value |
member of uvm_reg_sequence :: seq_parent_e |
| upstream_parent | attribute |
attribute type uvm_sequence_base, defined in class uvm_reg_sequence |
| use_fallback | function arg |
arg type input bit, defined in function svt_config_object_db :: get_from_parent |
| use_interconnect | attribute |
attribute type bit, defined in class svt_atb_system_configuration |
| use_metadata | attribute |
attribute type bit, defined in class uvm_packer |
| use_response_handler | function |
function, defined in class uvm_sequence_base, returns type void |
| use_uvm_seeding | attribute |
attribute type static bit, defined in class uvm_object |
| used | function |
function, defined in class uvm_tlm_fifo_base, returns type int |
| used | function |
function, defined in class uvm_tlm_fifo, returns type int |
| user | function arg |
arg type int unsigned, defined in function svt_amba_pv_extension :: set_user |
| user_pattern | attribute |
attribute type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in class svt_mem |
| USER_PATTERN | enum value |
member of svt_mem :: meminit_enum |
| user_priority_arbitration | function |
function, defined in class uvm_sequencer_base, returns type integer |
| uvm_aa_string_key | attribute |
attribute type string, defined in global |
| UVM_ABSTRACT | attribute |
attribute type parameter, defined in global |
| uvm_access_e | enum typedef |
defined in global |
| uvm_action_type | enum typedef |
defined in global |
| UVM_ACTIVE | enum value |
member of global items uvm_active_passive_enum |
| uvm_active_passive_enum | enum typedef |
defined in global |
| uvm_agent | class |
|
| uvm_algorithmic_comparator | class |
|
| UVM_ALL_ACTIVE | enum value |
member of global items uvm_heartbeat_modes |
| UVM_ALL_DROPPED | enum value |
member of global items uvm_objection_event |
| UVM_ALL_ON | attribute |
attribute type parameter, defined in global |
| uvm_analysis_export | class |
|
| uvm_analysis_imp | class |
|
| uvm_analysis_port | class |
|
| UVM_ANY_ACTIVE | enum value |
member of global items uvm_heartbeat_modes |
| UVM_APPEND | enum value |
member of global items uvm_apprepend |
| uvm_apprepend | enum typedef |
defined in global |
| UVM_BACKDOOR | enum value |
member of global items uvm_path_e |
| uvm_barrier | class |
|
| uvm_barrier | class typedef |
defined in global |
| uvm_barrier_pool | class typedef |
defined in global |
| UVM_BIG_ENDIAN | enum value |
member of global items uvm_endianness_e |
| UVM_BIG_FIFO | enum value |
member of global items uvm_endianness_e |
| UVM_BIN | enum value |
member of global items uvm_radix_enum |
| uvm_bit_rsrc | class |
|
| uvm_bits_to_string | function |
function, defined in global, returns type string |
| uvm_blocking_get_export | class |
|
| uvm_blocking_get_imp | class |
|
| uvm_blocking_get_peek_export | class |
|
| uvm_blocking_get_peek_imp | class |
|
| uvm_blocking_get_peek_port | class |
|
| uvm_blocking_get_port | class |
|
| uvm_blocking_master_export | class |
|
| uvm_blocking_master_imp | class |
|
| uvm_blocking_master_port | class |
|
| uvm_blocking_peek_export | class |
|
| uvm_blocking_peek_imp | class |
|
| uvm_blocking_peek_port | class |
|
| uvm_blocking_put_export | class |
|
| uvm_blocking_put_imp | class |
|
| uvm_blocking_put_port | class |
|
| uvm_blocking_slave_export | class |
|
| uvm_blocking_slave_imp | class |
|
| uvm_blocking_slave_port | class |
|
| uvm_blocking_transport_export | class |
|
| uvm_blocking_transport_imp | class |
|
| uvm_blocking_transport_port | class |
|
| uvm_bottomup_phase | class |
|
| uvm_build_phase | class |
|
| uvm_build_phase | class typedef |
defined in global |
| uvm_built_in_clone | class |
|
| uvm_built_in_comp | class |
|
| uvm_built_in_converter | class |
|
| uvm_built_in_pair | class |
|
| UVM_BURST_READ | enum value |
member of global items uvm_access_e |
| UVM_BURST_WRITE | enum value |
member of global items uvm_access_e |
| uvm_byte_rsrc | class |
|
| UVM_CALL_HOOK | enum value |
member of global items uvm_action_type |
| uvm_callback | class |
|
| uvm_callback | class typedef |
defined in global |
| uvm_callback_iter | class |
|
| uvm_callbacks | class |
|
| uvm_callbacks_base | class |
|
| uvm_callbacks_base | class typedef |
defined in global |
| uvm_callbacks_objection | class |
|
| uvm_callbacks_objection | class typedef |
defined in global |
| uvm_cdn_copyright | attribute |
attribute type parameter, defined in global |
| UVM_CHECK | enum value |
member of global items uvm_check_e |
| uvm_check_e | enum typedef |
defined in global |
| UVM_CHECK_FIELDS | attribute |
attribute type parameter, defined in global |
| uvm_check_phase | class |
|
| uvm_check_phase | class typedef |
defined in global |
| uvm_class_clone | class |
|
| uvm_class_comp | class |
|
| uvm_class_converter | class |
|
| uvm_class_pair | class |
|
| uvm_cmd_line_verb | class |
|
| uvm_cmdline_proc | attribute |
attribute type const uvm_cmdline_processor, defined in global |
| uvm_cmdline_processor | class |
|
| uvm_cmdline_processor | class typedef |
defined in global |
| UVM_COMPARE | attribute |
attribute type parameter, defined in global |
| uvm_comparer | class |
|
| uvm_comparer | class typedef |
defined in global |
| UVM_COMPLETED | enum value |
member of global items uvm_phase_transition |
| uvm_component | class |
|
| uvm_component | class typedef |
defined in global |
| uvm_component_registry | class |
|
| uvm_config_db | class |
|
| uvm_config_db_options | class |
|
| uvm_config_db_options | class typedef |
defined in global |
| uvm_config_int | class typedef |
defined in global |
| uvm_config_object | class typedef |
defined in global |
| uvm_config_object_wrapper | class |
|
| uvm_config_seq | class typedef |
defined in global |
| uvm_config_string | class typedef |
defined in global |
| uvm_config_wrapper | class typedef |
defined in global |
| uvm_configure_phase | class |
|
| uvm_configure_phase | class typedef |
defined in global |
| uvm_connect_phase | class |
|
| uvm_connect_phase | class typedef |
defined in global |
| UVM_COPY | attribute |
attribute type parameter, defined in global |
| uvm_copy_map | class |
|
| UVM_COUNT | enum value |
member of global items uvm_action_type |
| uvm_coverage_model_e | enum typedef |
defined in global |
| uvm_create_random_seed | function |
function, defined in global, returns type unsigned int |
| UVM_CVR_ADDR_MAP | enum value |
member of global items uvm_coverage_model_e |
| UVM_CVR_ALL | enum value |
member of global items uvm_coverage_model_e |
| UVM_CVR_FIELD_VALS | enum value |
member of global items uvm_coverage_model_e |
| UVM_CVR_REG_BITS | enum value |
member of global items uvm_coverage_model_e |
| uvm_cy_copyright | attribute |
attribute type parameter, defined in global |
| UVM_DEBUG | enum value |
member of global items uvm_verbosity |
| UVM_DEC | enum value |
member of global items uvm_radix_enum |
| UVM_DEEP | enum value |
member of global items uvm_recursion_policy_enum |
| UVM_DEFAULT | attribute |
attribute type parameter, defined in global |
| uvm_default_comparer | attribute |
attribute type uvm_comparer, defined in global |
| uvm_default_driver_type | class typedef |
defined in global |
| uvm_default_line_printer | attribute |
attribute type uvm_line_printer, defined in global |
| uvm_default_packer | attribute |
attribute type uvm_packer, defined in global |
| UVM_DEFAULT_PATH | enum value |
member of global items uvm_path_e |
| UVM_DEFAULT_POLICY | enum value |
member of global items uvm_recursion_policy_enum |
| uvm_default_printer | attribute |
attribute type uvm_printer, defined in global |
| uvm_default_recorder | attribute |
attribute type uvm_recorder, defined in global |
| uvm_default_sequence_type | class typedef |
defined in global |
| uvm_default_sequencer_param_type | class typedef |
defined in global |
| uvm_default_sequencer_type | class typedef |
defined in global |
| uvm_default_table_printer | attribute |
attribute type uvm_table_printer, defined in global |
| uvm_default_tree_printer | attribute |
attribute type uvm_tree_printer, defined in global |
| uvm_derived_callbacks | class |
|
| UVM_DISPLAY | enum value |
member of global items uvm_action_type |
| UVM_DO_ALL_REG_MEM_TESTS | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_MEM_ACCESS | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_MEM_WALK | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_REG_ACCESS | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_REG_BIT_BASH | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_REG_HW_RESET | enum value |
member of global items uvm_reg_mem_tests_e |
| UVM_DO_SHARED_ACCESS | enum value |
member of global items uvm_reg_mem_tests_e |
| uvm_domain | class |
|
| uvm_domain | class typedef |
defined in global |
| uvm_dpi_get_next_arg | function |
function, defined in global, returns type string |
| uvm_dpi_get_next_arg_c | function |
function, defined in global, returns type string |
| uvm_dpi_get_tool_name | function |
function, defined in global, returns type string |
| uvm_dpi_get_tool_name_c | function |
function, defined in global, returns type string |
| uvm_dpi_get_tool_version | function |
function, defined in global, returns type string |
| uvm_dpi_get_tool_version_c | function |
function, defined in global, returns type string |
| uvm_dpi_regcomp | function |
function, defined in global, returns type chandle |
| uvm_dpi_regexec | function |
function, defined in global, returns type int |
| uvm_dpi_regfree | function |
function, defined in global, returns type void |
| uvm_driver | class |
|
| UVM_DROPPED | enum value |
member of global items uvm_objection_event |
| uvm_dump_re_cache | function |
function, defined in global, returns type void |
| uvm_elem_kind_e | enum typedef |
defined in global |
| UVM_END_DATA_EXTRA | attribute |
attribute type parameter, defined in global |
| UVM_END_FUNCS | attribute |
attribute type parameter, defined in global |
| uvm_end_of_elaboration_phase | class |
|
| uvm_end_of_elaboration_phase | class typedef |
defined in global |
| uvm_endianness_e | enum typedef |
defined in global |
| UVM_ENUM | enum value |
member of global items uvm_radix_enum |
| uvm_env | class |
|
| uvm_env | class typedef |
defined in global |
| UVM_EQ | enum value |
member of global items uvm_wait_op |
| UVM_ERROR | enum value |
member of global items uvm_severity_type |
| uvm_event | class |
|
| uvm_event | class typedef |
defined in global |
| uvm_event_callback | class |
|
| uvm_event_pool | class typedef |
defined in global |
| uvm_exhaustive_sequence | class |
|
| UVM_EXIT | enum value |
member of global items uvm_action_type |
| UVM_EXPORT | enum value |
member of global items uvm_port_type_e |
| uvm_extract_phase | class |
|
| uvm_extract_phase | class typedef |
defined in global |
| uvm_factory | class |
|
| uvm_factory_override | class |
|
| uvm_factory_override | class typedef |
defined in global |
| uvm_factory_queue_class | class |
|
| UVM_FATAL | enum value |
member of global items uvm_severity_type |
| UVM_FIELD | enum value |
member of global items uvm_elem_kind_e |
| uvm_final_phase | class |
|
| uvm_final_phase | class typedef |
defined in global |
| UVM_FLAGS | attribute |
attribute type parameter, defined in global |
| UVM_FLAGS_OFF | attribute |
attribute type parameter, defined in global |
| UVM_FLAGS_ON | attribute |
attribute type parameter, defined in global |
| UVM_FORCED_STOP | enum value |
member of global items uvm_phase_transition |
| UVM_FRONTDOOR | enum value |
member of global items uvm_path_e |
| UVM_FULL | enum value |
member of global items uvm_verbosity |
| uvm_get_array_index_int | function |
function, defined in global, returns type int |
| uvm_get_array_index_string | function |
function, defined in global, returns type string |
| uvm_get_export | class |
|
| uvm_get_imp | class |
|
| uvm_get_max_verbosity | function |
function, defined in class uvm_report_object, returns type int |
| uvm_get_peek_export | class |
|
| uvm_get_peek_imp | class |
|
| uvm_get_peek_port | class |
|
| uvm_get_port | class |
|
| uvm_glob_to_re | function |
function, defined in global, returns type string |
| uvm_global_copy_map | attribute |
attribute type uvm_copy_map, defined in global |
| uvm_global_random_seed | attribute |
attribute type int unsigned, defined in global |
| UVM_GT | enum value |
member of global items uvm_wait_op |
| UVM_GTE | enum value |
member of global items uvm_wait_op |
| uvm_has_wildcard | function |
function, defined in global, returns type function |
| UVM_HAS_X | enum value |
member of global items uvm_status_e |
| uvm_hdl_check_path | function |
function, defined in global, returns type int |
| uvm_hdl_concat2string | function |
function, defined in global, returns type string |
| uvm_hdl_deposit | function |
function, defined in global, returns type int |
| uvm_hdl_force | function |
function, defined in global, returns type int |
| uvm_hdl_force_time | task |
defined in global |
| UVM_HDL_MAX_WIDTH | attribute |
attribute type parameter, defined in global |
| uvm_hdl_path_concat | class |
|
| uvm_hdl_path_slice | struct typedef |
defined in global |
| uvm_hdl_read | function |
function, defined in global, returns type int |
| uvm_hdl_release | function |
function, defined in global, returns type int |
| uvm_hdl_release_and_read | function |
function, defined in global, returns type int |
| uvm_heartbeat | class |
|
| uvm_heartbeat_callback | class |
|
| uvm_heartbeat_callback | class typedef |
defined in global |
| uvm_heartbeat_cbs_t | class typedef |
defined in global |
| uvm_heartbeat_modes | enum typedef |
defined in global |
| UVM_HEX | enum value |
member of global items uvm_radix_enum |
| UVM_HIER | enum value |
member of global items uvm_hier_e |
| uvm_hier_e | enum typedef |
defined in global |
| UVM_HIGH | enum value |
member of global items uvm_verbosity |
| uvm_id_actions_array | class typedef |
defined in global |
| uvm_id_file_array | class typedef |
defined in global |
| uvm_id_verbosities_array | class typedef |
defined in global |
| UVM_IMPLEMENTATION | enum value |
member of global items uvm_port_type_e |
| uvm_in_order_built_in_comparator | class |
|
| uvm_in_order_class_comparator | class |
|
| uvm_in_order_comparator | class |
|
| UVM_INFO | enum value |
member of global items uvm_severity_type |
| uvm_instance_scope | function |
function, defined in global, returns type string |
| uvm_int_rsrc | class |
|
| uvm_is_array | function |
function, defined in global, returns type bit |
| uvm_is_match | function |
function, defined in global, returns type bit |
| UVM_IS_OK | enum value |
member of global items uvm_status_e |
| UVM_LARGE_STRING | attribute |
attribute type parameter, defined in global |
| uvm_leaf_scope | function |
function, defined in global, returns type string |
| uvm_line_printer | class |
|
| uvm_line_printer | class typedef |
defined in global |
| UVM_LINE_WIDTH | attribute |
attribute type parameter, defined in global |
| UVM_LITTLE_ENDIAN | enum value |
member of global items uvm_endianness_e |
| UVM_LITTLE_FIFO | enum value |
member of global items uvm_endianness_e |
| UVM_LOG | enum value |
member of global items uvm_action_type |
| UVM_LOW | enum value |
member of global items uvm_verbosity |
| UVM_LT | enum value |
member of global items uvm_wait_op |
| UVM_LTE | enum value |
member of global items uvm_wait_op |
| UVM_MACRO_EXTRAS | attribute |
attribute type parameter, defined in global |
| UVM_MACRO_NUMFLAGS | attribute |
attribute type parameter, defined in global |
| uvm_main_phase | class |
|
| uvm_main_phase | class typedef |
defined in global |
| uvm_master_export | class |
|
| uvm_master_imp | class |
|
| uvm_master_port | class |
|
| UVM_MEDIUM | enum value |
member of global items uvm_verbosity |
| uvm_mem | class |
|
| uvm_mem | class typedef |
defined in global |
| UVM_MEM | enum value |
member of global items uvm_elem_kind_e |
| uvm_mem_access_seq | class |
|
| uvm_mem_access_seq | class typedef |
defined in global |
| uvm_mem_cb | class typedef |
defined in global |
| uvm_mem_cb_iter | class typedef |
defined in global |
| uvm_mem_mam | class |
|
| uvm_mem_mam | class typedef |
defined in global |
| uvm_mem_mam_cfg | class |
|
| uvm_mem_mam_cfg | class typedef |
defined in global |
| uvm_mem_mam_cfg_valid | constraint |
defined in class uvm_mem_mam_cfg |
| uvm_mem_mam_policy | class |
|
| uvm_mem_mam_policy | class typedef |
defined in global |
| uvm_mem_mam_policy_no_overlap | constraint |
defined in class uvm_mem_mam_policy |
| uvm_mem_mam_policy_valid | constraint |
defined in class uvm_mem_mam_policy |
| uvm_mem_region | class |
|
| uvm_mem_region | class typedef |
defined in global |
| uvm_mem_shared_access_seq | class |
|
| uvm_mem_single_access_seq | class |
|
| uvm_mem_single_walk_seq | class |
|
| uvm_mem_walk_seq | class |
|
| uvm_mgc_copyright | attribute |
attribute type parameter, defined in global |
| uvm_monitor | class |
|
| UVM_NE | enum value |
member of global items uvm_wait_op |
| UVM_NO_ACTION | enum value |
member of global items uvm_action_type |
| UVM_NO_CHECK | enum value |
member of global items uvm_check_e |
| UVM_NO_COVERAGE | enum value |
member of global items uvm_coverage_model_e |
| UVM_NO_ENDIAN | enum value |
member of global items uvm_endianness_e |
| UVM_NO_HB_MODE | enum value |
member of global items uvm_heartbeat_modes |
| UVM_NO_HIER | enum value |
member of global items uvm_hier_e |
| UVM_NOCOMPARE | attribute |
attribute type parameter, defined in global |
| UVM_NOCOPY | attribute |
attribute type parameter, defined in global |
| UVM_NODEFPRINT | attribute |
attribute type parameter, defined in global |
| uvm_nonblocking_get_export | class |
|
| uvm_nonblocking_get_imp | class |
|
| uvm_nonblocking_get_peek_export | class |
|
| uvm_nonblocking_get_peek_imp | class |
|
| uvm_nonblocking_get_peek_port | class |
|
| uvm_nonblocking_get_port | class |
|
| uvm_nonblocking_master_export | class |
|
| uvm_nonblocking_master_imp | class |
|
| uvm_nonblocking_master_port | class |
|
| uvm_nonblocking_peek_export | class |
|
| uvm_nonblocking_peek_imp | class |
|
| uvm_nonblocking_peek_port | class |
|
| uvm_nonblocking_put_export | class |
|
| uvm_nonblocking_put_imp | class |
|
| uvm_nonblocking_put_port | class |
|
| uvm_nonblocking_slave_export | class |
|
| uvm_nonblocking_slave_imp | class |
|
| uvm_nonblocking_slave_port | class |
|
| uvm_nonblocking_transport_export | class |
|
| uvm_nonblocking_transport_imp | class |
|
| uvm_nonblocking_transport_port | class |
|
| UVM_NONE | enum value |
member of global items uvm_verbosity |
| UVM_NOPACK | attribute |
attribute type parameter, defined in global |
| UVM_NOPRINT | attribute |
attribute type parameter, defined in global |
| UVM_NORADIX | enum value |
member of global items uvm_radix_enum |
| UVM_NORECORD | attribute |
attribute type parameter, defined in global |
| UVM_NOT_OK | enum value |
member of global items uvm_status_e |
| UVM_NUM_LINES | attribute |
attribute type parameter, defined in global |
| uvm_obj_rsrc | class |
|
| uvm_object | class |
|
| uvm_object | class typedef |
defined in global |
| uvm_object_registry | class |
|
| uvm_object_string_pool | class |
|
| uvm_object_value_str | function |
function, defined in global, returns type string |
| uvm_object_wrapper | class |
|
| uvm_object_wrapper | class typedef |
defined in global |
| uvm_objection | class |
|
| uvm_objection | class typedef |
defined in global |
| uvm_objection_callback | class |
|
| uvm_objection_callback | class typedef |
defined in global |
| uvm_objection_cbs_t | class typedef |
defined in global |
| uvm_objection_context_object | class |
|
| uvm_objection_context_object | class typedef |
defined in global |
| uvm_objection_event | enum typedef |
defined in global |
| uvm_objection_events | class |
|
| UVM_OCT | enum value |
member of global items uvm_radix_enum |
| UVM_ONE_ACTIVE | enum value |
member of global items uvm_heartbeat_modes |
| uvm_oneway_hash | function |
function, defined in global, returns type unsigned int |
| UVM_PACK | attribute |
attribute type parameter, defined in global |
| uvm_packer | class |
|
| uvm_packer | class typedef |
defined in global |
| UVM_PASSIVE | enum value |
member of global items uvm_active_passive_enum |
| uvm_path_e | enum typedef |
defined in global |
| uvm_peek_export | class |
|
| uvm_peek_imp | class |
|
| uvm_peek_port | class |
|
| uvm_phase | class |
|
| uvm_phase | class typedef |
defined in global |
| UVM_PHASE_CLEANUP | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_DOMAIN | enum value |
member of global items uvm_phase_type |
| UVM_PHASE_DONE | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_DORMANT | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_ENDED | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_EXECUTING | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_GLOBAL | enum value |
member of global items uvm_phase_type |
| UVM_PHASE_IMP | enum value |
member of global items uvm_phase_type |
| UVM_PHASE_JUMPING | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_NODE | enum value |
member of global items uvm_phase_type |
| UVM_PHASE_READY_TO_END | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_SCHEDULE | enum value |
member of global items uvm_phase_type |
| UVM_PHASE_SCHEDULED | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_STARTED | enum value |
member of global items uvm_phase_state |
| uvm_phase_state | enum typedef |
defined in global |
| UVM_PHASE_SYNCING | enum value |
member of global items uvm_phase_state |
| UVM_PHASE_TERMINAL | enum value |
member of global items uvm_phase_type |
| uvm_phase_transition | enum typedef |
defined in global |
| uvm_phase_type | enum typedef |
defined in global |
| UVM_PHYSICAL | attribute |
attribute type parameter, defined in global |
| uvm_pool | class |
|
| UVM_PORT | enum value |
member of global items uvm_port_type_e |
| uvm_port_base | class |
|
| uvm_port_component | class |
|
| uvm_port_component_base | class |
|
| uvm_port_component_base | class typedef |
defined in global |
| uvm_port_list | class typedef |
defined in global |
| uvm_port_type_e | enum typedef |
defined in global |
| uvm_post_configure_phase | class |
|
| uvm_post_configure_phase | class typedef |
defined in global |
| uvm_post_main_phase | class |
|
| uvm_post_main_phase | class typedef |
defined in global |
| uvm_post_reset_phase | class |
|
| uvm_post_reset_phase | class typedef |
defined in global |
| uvm_post_shutdown_phase | class |
|
| uvm_post_shutdown_phase | class typedef |
defined in global |
| uvm_pre_configure_phase | class |
|
| uvm_pre_configure_phase | class typedef |
defined in global |
| uvm_pre_main_phase | class |
|
| uvm_pre_main_phase | class typedef |
defined in global |
| uvm_pre_reset_phase | class |
|
| uvm_pre_reset_phase | class typedef |
defined in global |
| uvm_pre_shutdown_phase | class |
|
| uvm_pre_shutdown_phase | class typedef |
defined in global |
| UVM_PREDICT | enum value |
member of global items uvm_path_e |
| UVM_PREDICT_DIRECT | enum value |
member of global items uvm_predict_e |
| uvm_predict_e | enum typedef |
defined in global |
| UVM_PREDICT_READ | enum value |
member of global items uvm_predict_e |
| uvm_predict_s | class |
|
| UVM_PREDICT_WRITE | enum value |
member of global items uvm_predict_e |
| UVM_PREPEND | enum value |
member of global items uvm_apprepend |
| UVM_PRINT | attribute |
attribute type parameter, defined in global |
| uvm_printer | class |
|
| uvm_printer | class typedef |
defined in global |
| uvm_printer_knobs | class |
|
| uvm_printer_knobs | class typedef |
defined in global |
| uvm_printer_row_info | struct typedef |
defined in global |
| uvm_push_driver | class |
|
| uvm_push_sequencer | class |
|
| uvm_put_export | class |
|
| uvm_put_imp | class |
|
| uvm_put_port | class |
|
| uvm_queue | class |
|
| UVM_RADIX | attribute |
attribute type parameter, defined in global |
| uvm_radix_enum | enum typedef |
defined in global |
| uvm_radix_to_string | function |
function, defined in global, returns type string |
| UVM_RAISED | enum value |
member of global items uvm_objection_event |
| uvm_random_seed_table_lookup | attribute |
attribute type uvm_seed_map, defined in global |
| uvm_random_sequence | class |
|
| uvm_random_stimulus | class |
|
| uvm_re_match | function |
function, defined in global, returns type int |
| UVM_READ | enum value |
member of global items uvm_access_e |
| UVM_READONLY | attribute |
attribute type parameter, defined in global |
| UVM_REAL | enum value |
member of global items uvm_radix_enum |
| UVM_REAL_DEC | enum value |
member of global items uvm_radix_enum |
| UVM_REAL_EXP | enum value |
member of global items uvm_radix_enum |
| UVM_RECORD | attribute |
attribute type parameter, defined in global |
| uvm_recorder | class |
|
| uvm_recorder | class typedef |
defined in global |
| uvm_recursion_policy_enum | enum typedef |
defined in global |
| UVM_REFERENCE | enum value |
member of global items uvm_recursion_policy_enum |
| uvm_reg | class |
|
| uvm_reg | class typedef |
defined in global |
| UVM_REG | enum value |
member of global items uvm_elem_kind_e |
| uvm_reg_access_seq | class |
|
| uvm_reg_adapter | class |
|
| uvm_reg_adapter | class typedef |
defined in global |
| uvm_reg_backdoor | class |
|
| uvm_reg_backdoor | class typedef |
defined in global |
| uvm_reg_bd_cb | class typedef |
defined in global |
| uvm_reg_bd_cb_iter | class typedef |
defined in global |
| uvm_reg_bit_bash_seq | class |
|
| uvm_reg_block | class |
|
| uvm_reg_block | class typedef |
defined in global |
| uvm_reg_bus_op | struct typedef |
defined in global |
| uvm_reg_cb | class typedef |
defined in global |
| uvm_reg_cb_iter | class typedef |
defined in global |
| uvm_reg_cbs | class |
|
| uvm_reg_cbs | class typedef |
defined in global |
| uvm_reg_cvr_rsrc_db | class typedef |
defined in global |
| uvm_reg_field | class |
|
| uvm_reg_field | class typedef |
defined in global |
| uvm_reg_field_cb | class typedef |
defined in global |
| uvm_reg_field_cb_iter | class typedef |
defined in global |
| uvm_reg_field_valid | constraint |
defined in class uvm_reg_field |
| uvm_reg_fifo | class |
|
| uvm_reg_file | class |
|
| uvm_reg_file | class typedef |
defined in global |
| uvm_reg_frontdoor | class |
|
| uvm_reg_frontdoor | class typedef |
defined in global |
| uvm_reg_hw_reset_seq | class |
|
| uvm_reg_indirect_data | class |
|
| uvm_reg_indirect_data | class typedef |
defined in global |
| uvm_reg_indirect_ftdr_seq | class |
|
| uvm_reg_indirect_ftdr_seq | class typedef |
defined in global |
| uvm_reg_item | class |
|
| uvm_reg_item | class typedef |
defined in global |
| uvm_reg_map | class |
|
| uvm_reg_map | class typedef |
defined in global |
| uvm_reg_map_addr_range | struct typedef |
defined in global |
| uvm_reg_map_info | class |
|
| uvm_reg_map_info | class typedef |
defined in global |
| uvm_reg_mem_access_seq | class |
|
| uvm_reg_mem_built_in_seq | class |
|
| uvm_reg_mem_hdl_paths_seq | class |
|
| uvm_reg_mem_shared_access_seq | class |
|
| uvm_reg_mem_tests_e | enum typedef |
defined in global |
| uvm_reg_predictor | class |
|
| uvm_reg_read_only_cbs | class |
|
| uvm_reg_sequence | class |
|
| uvm_reg_sequence | class typedef |
defined in global |
| uvm_reg_shared_access_seq | class |
|
| uvm_reg_single_access_seq | class |
|
| uvm_reg_single_bit_bash_seq | class |
|
| uvm_reg_tlm_adapter | class |
|
| uvm_reg_write_only_cbs | class |
|
| uvm_report | function |
function, defined in class uvm_report_object, returns type void |
| uvm_report | function |
function, defined in class uvm_report_catcher, returns type void |
| uvm_report | function |
function, defined in class uvm_sequence_item, returns type void |
| uvm_report | function |
function, defined in global, returns type void |
| uvm_report_catcher | class |
|
| uvm_report_catcher | class typedef |
defined in global |
| uvm_report_cb | class typedef |
defined in global |
| uvm_report_cb_iter | class typedef |
defined in global |
| uvm_report_enabled | function |
function, defined in class uvm_report_object, returns type int |
| uvm_report_enabled | function |
function, defined in class uvm_sequence_item, returns type int |
| uvm_report_enabled | function |
function, defined in global, returns type bit |
| uvm_report_error | function |
function, defined in class uvm_report_object, returns type void |
| uvm_report_error | function |
function, defined in class uvm_report_catcher, returns type void |
| uvm_report_error | function |
function, defined in class uvm_sequence_item, returns type void |
| uvm_report_error | function |
function, defined in global, returns type void |
| uvm_report_fatal | function |
function, defined in class uvm_report_object, returns type void |
| uvm_report_fatal | function |
function, defined in class uvm_report_catcher, returns type void |
| uvm_report_fatal | function |
function, defined in class uvm_sequence_item, returns type void |
| uvm_report_fatal | function |
function, defined in global, returns type void |
| uvm_report_global_server | class |
|
| uvm_report_handler | class |
|
| uvm_report_handler | class typedef |
defined in global |
| uvm_report_info | function |
function, defined in class uvm_report_object, returns type void |
| uvm_report_info | function |
function, defined in class uvm_report_catcher, returns type void |
| uvm_report_info | function |
function, defined in class uvm_sequence_item, returns type void |
| uvm_report_info | function |
function, defined in global, returns type void |
| uvm_report_object | class |
|
| uvm_report_object | class typedef |
defined in global |
| uvm_report_phase | class |
|
| uvm_report_phase | class typedef |
defined in global |
| uvm_report_server | class |
|
| uvm_report_server | class typedef |
defined in global |
| uvm_report_warning | function |
function, defined in class uvm_report_object, returns type void |
| uvm_report_warning | function |
function, defined in class uvm_report_catcher, returns type void |
| uvm_report_warning | function |
function, defined in class uvm_sequence_item, returns type void |
| uvm_report_warning | function |
function, defined in global, returns type void |
| UVM_RERUN | enum value |
member of global items uvm_phase_transition |
| uvm_reset_phase | class |
|
| uvm_reset_phase | class typedef |
defined in global |
| uvm_resource | class |
|
| uvm_resource_base | class |
|
| uvm_resource_base | class typedef |
defined in global |
| uvm_resource_db | class |
|
| uvm_resource_db_options | class |
|
| uvm_resource_db_options | class typedef |
defined in global |
| uvm_resource_options | class |
|
| uvm_resource_pool | class |
|
| uvm_resource_types | class |
|
| uvm_resources | attribute |
attribute type const uvm_resource_pool, defined in global |
| uvm_revision | attribute |
attribute type parameter, defined in global |
| uvm_revision_string | function |
function, defined in global, returns type string |
| uvm_root | class |
|
| uvm_root | class typedef |
defined in global |
| uvm_root_report_handler | class |
|
| uvm_run_phase | class |
|
| uvm_run_phase | class typedef |
defined in global |
| uvm_scope_stack | class |
|
| uvm_scoreboard | class |
|
| uvm_seed_map | class |
|
| uvm_seq_item_pull_export | class |
|
| uvm_seq_item_pull_imp | class |
|
| uvm_seq_item_pull_port | class |
|
| UVM_SEQ_LIB_ITEM | enum value |
member of global items uvm_sequence_lib_mode |
| UVM_SEQ_LIB_RAND | enum value |
member of global items uvm_sequence_lib_mode |
| UVM_SEQ_LIB_RANDC | enum value |
member of global items uvm_sequence_lib_mode |
| UVM_SEQ_LIB_USER | enum value |
member of global items uvm_sequence_lib_mode |
| uvm_sequence | class |
|
| uvm_sequence_base | class |
|
| uvm_sequence_base | class typedef |
defined in global |
| uvm_sequence_item | class |
|
| uvm_sequence_item | class typedef |
defined in global |
| uvm_sequence_lib_mode | enum typedef |
defined in global |
| uvm_sequence_library | class |
|
| uvm_sequence_library_cfg | class |
|
| uvm_sequence_library_cfg | class typedef |
defined in global |
| uvm_sequence_request | class |
|
| uvm_sequence_request | class typedef |
defined in global |
| uvm_sequence_state | enum typedef |
defined in global |
| uvm_sequencer | class |
|
| uvm_sequencer_analysis_fifo | class |
|
| uvm_sequencer_arb_mode | enum typedef |
defined in global |
| uvm_sequencer_base | class |
|
| uvm_sequencer_base | class typedef |
defined in global |
| uvm_sequencer_param_base | class |
|
| UVM_SET | attribute |
attribute type parameter, defined in global |
| UVM_SETINT | attribute |
attribute type parameter, defined in global |
| UVM_SETOBJ | attribute |
attribute type parameter, defined in global |
| UVM_SETSTR | attribute |
attribute type parameter, defined in global |
| uvm_sev_override_array | class typedef |
defined in global |
| uvm_severity_type | enum typedef |
defined in global |
| UVM_SHALLOW | enum value |
member of global items uvm_recursion_policy_enum |
| uvm_shutdown_phase | class |
|
| uvm_shutdown_phase | class typedef |
defined in global |
| uvm_simple_sequence | class |
|
| UVM_SKIPPED | enum value |
member of global items uvm_phase_transition |
| uvm_slave_export | class |
|
| uvm_slave_imp | class |
|
| uvm_slave_port | class |
|
| UVM_SMALL_STRING | attribute |
attribute type parameter, defined in global |
| uvm_snps_copyright | attribute |
attribute type parameter, defined in global |
| uvm_spell_chkr | class |
|
| uvm_split_string | function |
function, defined in global, returns type void |
| uvm_sqr_if_base | class |
|
| UVM_START_FUNCS | attribute |
attribute type parameter, defined in global |
| uvm_start_of_simulation_phase | class |
|
| uvm_start_of_simulation_phase | class typedef |
defined in global |
| uvm_start_uvm_declarations | attribute |
attribute type bit, defined in global |
| uvm_status_container | class |
|
| uvm_status_container | class typedef |
defined in global |
| uvm_status_e | enum typedef |
defined in global |
| UVM_STDOUT | attribute |
attribute type parameter, defined in global |
| UVM_STOP | enum value |
member of global items uvm_action_type |
| UVM_STR_CRC_POLYNOMIAL | attribute |
attribute type parameter, defined in global |
| UVM_STREAMBITS | attribute |
attribute type parameter, defined in global |
| UVM_STRING | enum value |
member of global items uvm_radix_enum |
| uvm_string_rsrc | class |
|
| uvm_string_to_action | function |
function, defined in global, returns type function |
| uvm_string_to_bits | function |
function, defined in global, returns type logic [1:0] |
| uvm_string_to_severity | function |
function, defined in global, returns type bit |
| uvm_subscriber | class |
|
| uvm_table_printer | class |
|
| uvm_table_printer | class typedef |
defined in global |
| uvm_table_printer_knobs | class typedef |
defined in global |
| uvm_task_phase | class |
|
| uvm_task_phase | class typedef |
defined in global |
| uvm_test | class |
|
| uvm_test_done | attribute |
attribute type uvm_test_done_objection, defined in global |
| uvm_test_done_objection | class |
|
| uvm_test_done_objection | class typedef |
defined in global |
| UVM_TIME | enum value |
member of global items uvm_radix_enum |
| UVM_TLM_ACCEPTED | enum value |
member of global items uvm_tlm_sync_e |
| UVM_TLM_ADDRESS_ERROR_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| uvm_tlm_analysis_fifo | class |
|
| uvm_tlm_b_initiator_socket | class |
|
| uvm_tlm_b_initiator_socket_base | class |
|
| uvm_tlm_b_passthrough_initiator_socket | class |
|
| uvm_tlm_b_passthrough_initiator_socket_base | class |
|
| uvm_tlm_b_passthrough_target_socket | class |
|
| uvm_tlm_b_passthrough_target_socket_base | class |
|
| uvm_tlm_b_target_socket | class |
|
| uvm_tlm_b_target_socket_base | class |
|
| uvm_tlm_b_transport_export | class |
|
| uvm_tlm_b_transport_imp | class |
|
| uvm_tlm_b_transport_port | class |
|
| UVM_TLM_BURST_ERROR_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| uvm_tlm_command_e | enum typedef |
defined in global |
| UVM_TLM_COMMAND_ERROR_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| UVM_TLM_COMPLETED | enum value |
member of global items uvm_tlm_sync_e |
| uvm_tlm_event | class |
|
| uvm_tlm_event | class typedef |
defined in global |
| uvm_tlm_extension | class |
|
| uvm_tlm_extension_base | class |
|
| uvm_tlm_extension_base | class typedef |
defined in global |
| uvm_tlm_fifo | class |
|
| uvm_tlm_fifo_base | class |
|
| UVM_TLM_GENERIC_ERROR_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| uvm_tlm_generic_payload | class |
|
| uvm_tlm_gp | class typedef |
defined in global |
| uvm_tlm_if | class |
|
| uvm_tlm_if_base | class |
|
| UVM_TLM_IGNORE_COMMAND | enum value |
member of global items uvm_tlm_command_e |
| UVM_TLM_INCOMPLETE_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| uvm_tlm_nb_initiator_socket | class |
|
| uvm_tlm_nb_initiator_socket_base | class |
|
| uvm_tlm_nb_passthrough_initiator_socket | class |
|
| uvm_tlm_nb_passthrough_initiator_socket_base | class |
|
| uvm_tlm_nb_passthrough_target_socket | class |
|
| uvm_tlm_nb_passthrough_target_socket_base | class |
|
| uvm_tlm_nb_target_socket | class |
|
| uvm_tlm_nb_target_socket_base | class |
|
| uvm_tlm_nb_transport_bw_export | class |
|
| uvm_tlm_nb_transport_bw_imp | class |
|
| uvm_tlm_nb_transport_bw_port | class |
|
| uvm_tlm_nb_transport_fw_export | class |
|
| uvm_tlm_nb_transport_fw_imp | class |
|
| uvm_tlm_nb_transport_fw_port | class |
|
| UVM_TLM_OK_RESPONSE | enum value |
member of global items uvm_tlm_response_status_e |
| uvm_tlm_phase_e | enum typedef |
defined in global |
| UVM_TLM_READ_COMMAND | enum value |
member of global items uvm_tlm_command_e |
| uvm_tlm_req_rsp_channel | class |
|
| uvm_tlm_response_status_e | enum typedef |
defined in global |
| uvm_tlm_sync_e | enum typedef |
defined in global |
| uvm_tlm_time | class |
|
| uvm_tlm_transport_channel | class |
|
| UVM_TLM_UPDATED | enum value |
member of global items uvm_tlm_sync_e |
| UVM_TLM_WRITE_COMMAND | enum value |
member of global items uvm_tlm_command_e |
| uvm_top | attribute |
attribute type const uvm_root, defined in global |
| uvm_topdown_phase | class |
|
| uvm_transaction | class |
|
| uvm_transport_export | class |
|
| uvm_transport_imp | class |
|
| uvm_transport_port | class |
|
| uvm_tree_printer | class |
|
| uvm_tree_printer | class typedef |
defined in global |
| uvm_tree_printer_knobs | class typedef |
defined in global |
| uvm_typed_callbacks | class |
|
| uvm_typeid | class |
|
| uvm_typeid_base | class |
|
| UVM_UNBOUNDED_CONNECTIONS | attribute |
attribute type const int, defined in global |
| UVM_UNFORMAT2 | enum value |
member of global items uvm_radix_enum |
| UVM_UNFORMAT4 | enum value |
member of global items uvm_radix_enum |
| UVM_UNPACK | attribute |
attribute type parameter, defined in global |
| UVM_UNSIGNED | enum value |
member of global items uvm_radix_enum |
| uvm_utils | class |
|
| uvm_vector_to_string | function |
function, defined in global, returns type string |
| uvm_verbosity | enum typedef |
defined in global |
| uvm_virtual_sequencer | class typedef |
defined in global |
| uvm_void | class |
|
| uvm_vreg | class |
|
| uvm_vreg | class typedef |
defined in global |
| uvm_vreg_cb | class typedef |
defined in global |
| uvm_vreg_cb_iter | class typedef |
defined in global |
| uvm_vreg_cbs | class |
|
| uvm_vreg_cbs | class typedef |
defined in global |
| uvm_vreg_field | class |
|
| uvm_vreg_field | class typedef |
defined in global |
| uvm_vreg_field_cb | class typedef |
defined in global |
| uvm_vreg_field_cb_iter | class typedef |
defined in global |
| uvm_vreg_field_cbs | class |
|
| uvm_vreg_field_cbs | class typedef |
defined in global |
| uvm_wait_for_nba_region | task |
defined in global |
| uvm_wait_op | enum typedef |
defined in global |
| UVM_WARNING | enum value |
member of global items uvm_severity_type |
| UVM_WRITE | enum value |
member of global items uvm_access_e |