VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

Global Elements



Global Functions

function string  getenv ( input string envname )
function void  global_stop_request ( )
task  run_test ( string test_name = "" )
function void  set_config_int ( string inst_name, string field_name, uvm_bitstream_t value )
function void  set_config_object ( string inst_name, string field_name, uvm_object value, bit clone = 1 )
function void  set_config_string ( string inst_name, string field_name, string value )
function void  set_global_stop_timeout ( time timeout )
function void  set_global_timeout ( time timeout, bit overridable = 1 )
function int  SLI_Terminate ( )
function int  stat ( input string fname, output int buff[1000] )
function function  svt_fuzzy_real_compare ( real lhs, real rhs, real precision = 0.000001 )
function void  svt_mem_sa_physical_address_init ( int unsigned phys_addr[6] )
function int  svt_mem_sa_record_file_data ( input int svt_mem_core_id, input longint unsigned addr, input bit [SVT_MEM_MAX_DATA_WIDTH-1:0] data )
function int  svt_mem_sa_record_file_data4 ( input int svt_mem_core_id, input longint unsigned addr, input logic [SVT_MEM_MAX_DATA_WIDTH-1:0] data )
function int  svt_mem_sa_record_wrmasked_data ( input int svt_mem_core_id, input bit [SVT_MEM_MAX_DATA_WIDTH-1:0] data )
function int  svt_mem_sa_record_wrmasked_data4 ( input int svt_mem_core_id, input logic [SVT_MEM_MAX_DATA_WIDTH-1:0] data )
task  svt_wait_for_nba_region ( )
function string  uvm_bits_to_string ( logic [0:0] str )
function unsigned int  uvm_create_random_seed ( string type_id, string inst_id = "" )
function string  uvm_dpi_get_next_arg ( )
function string  uvm_dpi_get_next_arg_c ( )
function string  uvm_dpi_get_tool_name ( )
function string  uvm_dpi_get_tool_name_c ( )
function string  uvm_dpi_get_tool_version ( )
function string  uvm_dpi_get_tool_version_c ( )
function chandle  uvm_dpi_regcomp ( string regex )
function int  uvm_dpi_regexec ( chandle preg, string str )
function void  uvm_dpi_regfree ( chandle preg )
function void  uvm_dump_re_cache ( )
function int  uvm_get_array_index_int ( string arg, output bit is_wildcard )
function string  uvm_get_array_index_string ( string arg, output bit is_wildcard )
function string  uvm_glob_to_re ( string glob )
function function  uvm_has_wildcard ( string arg )
function int  uvm_hdl_check_path ( string path )
function string  uvm_hdl_concat2string ( uvm_hdl_path_concat concat )
function int  uvm_hdl_deposit ( string path, uvm_hdl_data_t value )
function int  uvm_hdl_force ( string path, uvm_hdl_data_t value )
task  uvm_hdl_force_time ( string path, uvm_hdl_data_t value, time force_time = 0 )
function int  uvm_hdl_read ( string path, output uvm_hdl_data_t value )
function int  uvm_hdl_release ( string path )
function int  uvm_hdl_release_and_read ( string path, inout uvm_hdl_data_t value )
function string  uvm_instance_scope ( )
function bit  uvm_is_array ( string arg )
function bit  uvm_is_match ( string expr, string str )
function string  uvm_leaf_scope ( string full_name, byte scope_separator = "." )
function string  uvm_object_value_str ( uvm_object v )
function unsigned int  uvm_oneway_hash ( string string_in, int unsigned seed = 0 )
function string  uvm_radix_to_string ( uvm_radix_enum radix )
function int  uvm_re_match ( string re, string str )
function void  uvm_report ( uvm_severity severity, string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0 )
function bit  uvm_report_enabled ( int verbosity, uvm_severity severity = UVM_INFO, string id = "" )
function void  uvm_report_error ( string id, string message, int verbosity = UVM_LOW, string filename = "", int line = 0 )
function void  uvm_report_fatal ( string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0 )
function void  uvm_report_info ( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0 )
function void  uvm_report_warning ( string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0 )
function string  uvm_revision_string ( )
function void  uvm_split_string ( string str, byte sep, ref string values[$] )
function function  uvm_string_to_action ( string action_str, output uvm_action action )
function logic [1:0]   uvm_string_to_bits ( string str )
function bit  uvm_string_to_severity ( string sev_str, output uvm_severity sev )
function string  uvm_vector_to_string ( uvm_bitstream_t value, int size, uvm_radix_enum radix = UVM_NORADIX, string radix_str = "" )
task  uvm_wait_for_nba_region ( )

Global Variables

uvm_phase  build_ph 
uvm_phase  check_ph 
uvm_phase  connect_ph 
uvm_phase  end_of_elaboration_ph 
uvm_phase  extract_ph 
const uvm_factory  factory = uvm_factory; 
uvm_phase  report_ph 
const uvm_report_object  reporter = uvm_root; 
uvm_phase  run_ph 
uvm_phase  start_of_simulation_ph 
const string  s_connection_error_id = "Connection Error"; 
const string  s_connection_warning_id = "Connection Warning"; 
const string  s_spaces = " "; 
uvm_event  svt_seq_started = new; 
string  uvm_aa_string_key 
parameter  UVM_ABSTRACT = 1 << 14; 
parameter  UVM_ALL_ON = 'b000000101010101; 
parameter  uvm_cdn_copyright = "(C) 2007-2013 Cadence Design Systems, Inc."; 
parameter  UVM_CHECK_FIELDS = UVM_MACRO_EXTRAS + 3; 
const uvm_cmdline_processor  uvm_cmdline_proc = uvm_cmdline_processor; 
parameter  UVM_COMPARE = 1 << 2; 
parameter  UVM_COPY = 1 << 0; 
parameter  uvm_cy_copyright = "(C) 2011-2013 Cypress Semiconductor Corp."; 
parameter  UVM_DEFAULT = 'b000010101010101; 
uvm_comparer  uvm_default_comparer = new; 
uvm_line_printer  uvm_default_line_printer = new; 
uvm_packer  uvm_default_packer = new; 
uvm_printer  uvm_default_printer = uvm_default_table_printer; 
uvm_recorder  uvm_default_recorder = new; 
uvm_table_printer  uvm_default_table_printer = new; 
uvm_tree_printer  uvm_default_tree_printer = new; 
parameter  UVM_END_DATA_EXTRA = UVM_MACRO_EXTRAS + 4; 
parameter  UVM_END_FUNCS = UVM_SETSTR; 
parameter  UVM_FLAGS = UVM_MACRO_EXTRAS + 1; 
parameter  UVM_FLAGS_OFF = 0; 
parameter  UVM_FLAGS_ON = 'b000000101010101; 
uvm_copy_map  uvm_global_copy_map = new; 
int unsigned  uvm_global_random_seed = $urandom; 
parameter  UVM_HDL_MAX_WIDTH = 1024; 
parameter  UVM_LARGE_STRING = UVM_LINE_WIDTH * UVM_NUM_LINES * 8 - 1; 
parameter  UVM_LINE_WIDTH = 120; 
parameter  UVM_MACRO_EXTRAS = 1 << UVM_MACRO_NUMFLAGS; 
parameter  UVM_MACRO_NUMFLAGS = 17; 
parameter  uvm_mgc_copyright = "(C) 2007-2013 Mentor Graphics Corporation"; 
parameter  UVM_NOCOMPARE = 1 << 3; 
parameter  UVM_NOCOPY = 1 << 1; 
parameter  UVM_NODEFPRINT = 1 << 16; 
parameter  UVM_NOPACK = 1 << 9; 
parameter  UVM_NOPRINT = 1 << 5; 
parameter  UVM_NORECORD = 1 << 7; 
parameter  UVM_NUM_LINES = 120; 
parameter  UVM_PACK = 1 << 8; 
parameter  UVM_PHYSICAL = 1 << 13; 
parameter  UVM_PRINT = 1 << 4; 
parameter  UVM_RADIX = 'hf000000; 
uvm_seed_map  uvm_random_seed_table_lookup [string] 
parameter  UVM_READONLY = 1 << 15; 
parameter  UVM_RECORD = 1 << 6; 
const uvm_resource_pool  uvm_resources = uvm_resource_pool; 
parameter  uvm_revision = "UVM-1.1d"; 
parameter  UVM_SET = UVM_START_FUNCS + 1; 
parameter  UVM_SETINT = UVM_SET; 
parameter  UVM_SETOBJ = UVM_START_FUNCS + 2; 
parameter  UVM_SETSTR = UVM_START_FUNCS + 3; 
parameter  UVM_SMALL_STRING = UVM_LINE_WIDTH * 8 - 1; 
parameter  uvm_snps_copyright = "(C) 2006-2013 Synopsys, Inc."; 
parameter  UVM_START_FUNCS = UVM_END_DATA_EXTRA + 1; 
bit  uvm_start_uvm_declarations = 1; 
parameter  UVM_STDOUT = 1; 
parameter  UVM_STREAMBITS = 4096; 
parameter  UVM_STR_CRC_POLYNOMIAL = 32'h04c11db6; 
const uvm_root  uvm_top = uvm_root; 
const int  UVM_UNBOUNDED_CONNECTIONS = -1; 
parameter  UVM_UNPACK = UVM_MACRO_EXTRAS + 2; 
const uvm_root  _global_reporter = uvm_root; 
uvm_test_done_objection  uvm_test_done = uvm_test_done_objection; 

Global Typedefs

 typedef interface   AXI_LP_IF 
 typedef class  axi_master_atomic_compare_xact_base_virtual_sequence 
 typedef class  axi_master_atomic_load_xact_base_virtual_sequence 
 typedef class  axi_master_atomic_store_xact_base_virtual_sequence 
 typedef class  axi_master_atomic_swap_xact_base_virtual_sequence 
 typedef interface   AXI_MASTER_IF 
 typedef interface   AXI_SLAVE_IF 
 typedef enum  burst_enum 
 typedef class  cust_svt_tlm_gp_to_axi_master_transaction 
 typedef class  m_uvm_test_done_objection_base 
 typedef enum  resp_enum 
 typedef class  svt_amba_pv_response 
 typedef enum  svt_apprepend 
 typedef class  svt_axi_ace_master_base_sequence 
 typedef class  svt_axi_ace_master_base_virtual_sequence 
 typedef class  svt_axi_ace_master_snoop_response_sequence 
 typedef class  svt_axi_barrier_pair_transaction 
 typedef class  svt_axi_base_slave_common 
 typedef class  svt_axi_basic_writeback_full_cacheline 
 typedef class  svt_axi_basic_writeclean_full_cacheline 
 typedef class  svt_axi_cacheline_initialization 
 typedef class  svt_axi_cacheline_invalidation 
 typedef class  svt_axi_checker 
 typedef class  svt_axi_common 
 typedef class  svt_axi_cov 
 typedef class  svt_axi_cov_data 
 typedef class  svt_axi_ic_slave_agent 
 typedef class  svt_axi_ic_slave_response_sequence 
 typedef class  svt_axi_ic_snoop_input_port_type 
 typedef class  svt_axi_interconnect 
 typedef class  svt_axi_interconnect_callback 
 typedef class  svt_axi_interconnect_callback_pool 
 typedef class  svt_axi_interconnect_configuration 
 typedef class  svt_axi_interconnect_env 
 typedef class  svt_axi_master_callback 
 typedef class  svt_axi_master_callback_pool 
 typedef class  svt_axi_master_input_port_type 
 typedef class  svt_axi_master_sequencer 
 typedef class  svt_axi_master_sequencer_callback 
 typedef class  svt_axi_master_sequencer_callback_pool 
 typedef class  svt_axi_passive_cache_line 
 typedef class  svt_axi_port_configuration 
 typedef class  svt_axi_port_monitor 
 typedef class  svt_axi_port_monitor_callback 
 typedef class  svt_axi_port_monitor_callback_pool 
 typedef class  svt_axi_port_monitor_common 
 typedef class  svt_axi_port_monitor_system_checker_callback 
 typedef class  svt_axi_slave_addr_range 
 typedef class  svt_axi_slave_callback 
 typedef class  svt_axi_slave_callback_pool 
 typedef class  svt_axi_slave_transaction 
 typedef class  svt_axi_sysco_interface_fsm 
 typedef class  svt_axi_system_base_sequence 
 typedef class  svt_axi_system_checker 
 typedef class  svt_axi_system_common 
 typedef class  svt_axi_system_configuration 
 typedef class  svt_axi_system_domain_item 
 typedef class  svt_axi_system_monitor 
 typedef class  svt_axi_system_monitor_callback 
 typedef class  svt_axi_system_monitor_callback_pool 
 typedef class  svt_axi_system_monitor_exclusive_sequence_transaction_activity_callback_data 
 typedef class  svt_axi_system_monitor_transaction_xml_callback 
 typedef class  svt_axi_system_sequencer 
 typedef class  svt_axi_system_transaction 
 typedef class  svt_axi_transaction 
 typedef class  svt_callback 
 typedef class  svt_debug_opts_carrier 
 typedef class  svt_err_check_report_catcher 
 typedef class  svt_fsm 
 typedef class  svt_fsm_callbacks 
 typedef class  svt_fsm_state_base 
 typedef class  svt_fsm_state_callbacks 
 typedef class  svt_fuzzy_real_comparer 
 typedef class  svt_mem_backdoor 
 typedef class  svt_mem_backdoor_2state 
 typedef class  svt_mem_backdoor_4state 
 typedef enum  svt_mem_clkrate_t 
 typedef enum  svt_mem_depth_t 
 typedef class  svt_mem_driver_callback 
 typedef class  svt_mem_ram_sequence 
 typedef struct  svt_mem_sa_breakinfo 
 typedef struct  svt_mem_sa_breakspec 
 typedef struct  svt_mem_sa_patspec 
 typedef struct  svt_mem_sa_statdata 
 typedef class  svt_mem_sequence 
 typedef class  svt_mem_vendor_catalog_base 
 typedef enum  svt_mem_width_t 
 typedef class  svt_non_abstract_report_object 
 typedef class  svt_pattern 
 typedef class  svt_phase 
 typedef class  svt_reactive_sequence 
 typedef class  svt_report_catcher 
 typedef class  svt_sequence 
 typedef class  svt_sequence_item_base 
 typedef class  svt_sequence_item_base_iter 
 typedef class  svt_uvm_callback 
 typedef class  svt_uvm_cmd_assistant 
 typedef class  svt_uvm_cmd_assistant_report_catcher 
 typedef class  svt_vip_writer 
 typedef class  svt_xml_writer 
 typedef enum  uvm_access_e 
 typedef enum  uvm_action_type 
 typedef enum  uvm_active_passive_enum 
 typedef enum  uvm_apprepend 
 typedef class  uvm_barrier 
 typedef class  uvm_barrier_pool 
 typedef class  uvm_build_phase 
 typedef class  uvm_callback 
 typedef class  uvm_callbacks_base 
 typedef class  uvm_callbacks_objection 
 typedef enum  uvm_check_e 
 typedef class  uvm_check_phase 
 typedef class  uvm_cmdline_processor 
 typedef class  uvm_comparer 
 typedef class  uvm_component 
 typedef class  uvm_config_db_options 
 typedef class  uvm_config_object 
 typedef class  uvm_config_seq 
 typedef class  uvm_config_wrapper 
 typedef class  uvm_configure_phase 
 typedef class  uvm_config_int 
 typedef class  uvm_config_string 
 typedef class  uvm_connect_phase 
 typedef enum  uvm_coverage_model_e 
 typedef class  uvm_default_driver_type 
 typedef class  uvm_default_sequence_type 
 typedef class  uvm_default_sequencer_param_type 
 typedef class  uvm_default_sequencer_type 
 typedef class  uvm_domain 
 typedef enum  uvm_elem_kind_e 
 typedef class  uvm_end_of_elaboration_phase 
 typedef enum  uvm_endianness_e 
 typedef class  uvm_env 
 typedef class  uvm_event 
 typedef class  uvm_event_pool 
 typedef class  uvm_extract_phase 
 typedef class  uvm_factory_override 
 typedef class  uvm_final_phase 
 typedef struct  uvm_hdl_path_slice 
 typedef class  uvm_heartbeat_callback 
 typedef class  uvm_heartbeat_cbs_t 
 typedef enum  uvm_heartbeat_modes 
 typedef enum  uvm_hier_e 
 typedef class  uvm_id_actions_array 
 typedef class  uvm_id_file_array 
 typedef class  uvm_id_verbosities_array 
 typedef class  uvm_line_printer 
 typedef class  uvm_main_phase 
 typedef class  uvm_mem 
 typedef class  uvm_mem_access_seq 
 typedef class  uvm_mem_cb 
 typedef class  uvm_mem_cb_iter 
 typedef class  uvm_mem_mam 
 typedef class  uvm_mem_mam_cfg 
 typedef class  uvm_mem_mam_policy 
 typedef class  uvm_mem_region 
 typedef class  uvm_object 
 typedef class  uvm_objection_callback 
 typedef class  uvm_objection_cbs_t 
 typedef class  uvm_objection_context_object 
 typedef enum  uvm_objection_event 
 typedef class  uvm_object_wrapper 
 typedef class  uvm_objection 
 typedef class  uvm_packer 
 typedef enum  uvm_path_e 
 typedef class  uvm_phase 
 typedef enum  uvm_phase_state 
 typedef enum  uvm_phase_transition 
 typedef enum  uvm_phase_type 
 typedef class  uvm_port_component_base 
 typedef class  uvm_port_list 
 typedef enum  uvm_port_type_e 
 typedef class  uvm_post_configure_phase 
 typedef class  uvm_post_main_phase 
 typedef class  uvm_post_reset_phase 
 typedef class  uvm_post_shutdown_phase 
 typedef enum  uvm_predict_e 
 typedef class  uvm_pre_configure_phase 
 typedef class  uvm_pre_main_phase 
 typedef class  uvm_pre_reset_phase 
 typedef class  uvm_pre_shutdown_phase 
 typedef class  uvm_printer 
 typedef class  uvm_printer_knobs 
 typedef struct  uvm_printer_row_info 
 typedef enum  uvm_radix_enum 
 typedef class  uvm_recorder 
 typedef enum  uvm_recursion_policy_enum 
 typedef class  uvm_reg 
 typedef class  uvm_reg_adapter 
 typedef class  uvm_reg_backdoor 
 typedef class  uvm_reg_bd_cb 
 typedef class  uvm_reg_bd_cb_iter 
 typedef class  uvm_reg_block 
 typedef struct  uvm_reg_bus_op 
 typedef class  uvm_reg_cb 
 typedef class  uvm_reg_cb_iter 
 typedef class  uvm_reg_cbs 
 typedef class  uvm_reg_cvr_rsrc_db 
 typedef class  uvm_reg_field 
 typedef class  uvm_reg_field_cb 
 typedef class  uvm_reg_field_cb_iter 
 typedef class  uvm_reg_file 
 typedef class  uvm_reg_frontdoor 
 typedef class  uvm_reg_indirect_data 
 typedef class  uvm_reg_indirect_ftdr_seq 
 typedef class  uvm_reg_item 
 typedef class  uvm_reg_map 
 typedef struct  uvm_reg_map_addr_range 
 typedef class  uvm_reg_map_info 
 typedef enum  uvm_reg_mem_tests_e 
 typedef class  uvm_reg_sequence 
 typedef class  uvm_report_catcher 
 typedef class  uvm_report_cb 
 typedef class  uvm_report_cb_iter 
 typedef class  uvm_report_handler 
 typedef class  uvm_report_object 
 typedef class  uvm_report_phase 
 typedef class  uvm_report_server 
 typedef class  uvm_reset_phase 
 typedef class  uvm_resource_base 
 typedef class  uvm_resource_db_options 
 typedef class  uvm_root 
 typedef class  uvm_run_phase 
 typedef enum  uvm_sequence_lib_mode 
 typedef class  uvm_sequence_library_cfg 
 typedef class  uvm_sequence_request 
 typedef enum  uvm_sequencer_arb_mode 
 typedef enum  uvm_sequence_state 
 typedef class  uvm_sequencer_base 
 typedef class  uvm_sequence_base 
 typedef class  uvm_sequence_item 
 typedef enum  uvm_severity_type 
 typedef class  uvm_sev_override_array 
 typedef class  uvm_shutdown_phase 
 typedef class  uvm_start_of_simulation_phase 
 typedef class  uvm_status_container 
 typedef enum  uvm_status_e 
 typedef class  uvm_table_printer 
 typedef class  uvm_table_printer_knobs 
 typedef class  uvm_task_phase 
 typedef class  uvm_test_done_objection 
 typedef enum  uvm_tlm_command_e 
 typedef class  uvm_tlm_event 
 typedef class  uvm_tlm_extension_base 
 typedef class  uvm_tlm_gp 
 typedef enum  uvm_tlm_phase_e 
 typedef enum  uvm_tlm_response_status_e 
 typedef enum  uvm_tlm_sync_e 
 typedef class  uvm_tree_printer 
 typedef class  uvm_tree_printer_knobs 
 typedef enum  uvm_verbosity 
 typedef class  uvm_virtual_sequencer 
 typedef class  uvm_vreg 
 typedef class  uvm_vreg_cb 
 typedef class  uvm_vreg_cbs 
 typedef class  uvm_vreg_cb_iter 
 typedef class  uvm_vreg_field 
 typedef class  uvm_vreg_field_cb 
 typedef class  uvm_vreg_field_cbs 
 typedef class  uvm_vreg_field_cb_iter 
 typedef enum  uvm_wait_op 


Member Function Documentation

  function string
 globals::getenv

 (  input string envname  ) 

  function void
 globals::global_stop_request

 (   ) 

  task
 globals::run_test

 (  string test_name = ""  ) 

  function void
 globals::set_config_int

 (  string inst_name, string field_name, uvm_bitstream_t value  ) 

  function void
 globals::set_config_object

 (  string inst_name, string field_name, uvm_object value, bit clone = 1  ) 

  function void
 globals::set_config_string

 (  string inst_name, string field_name, string value  ) 

  function void
 globals::set_global_stop_timeout

 (  time timeout  ) 

  function void
 globals::set_global_timeout

 (  time timeout, bit overridable = 1  ) 

  function int
 globals::SLI_Terminate

 (   ) 

  function int
 globals::stat

 (  input string fname, output int buff[1000]  ) 

 automatic function function
 globals::svt_fuzzy_real_compare

 (  real lhs, real rhs, real precision = 0.000001  ) 


Method which can be used to do a fuzzy compare between two real values.

lhs - The field value for the object doing the compare.

rhs - The field value for the object being compared.

precision - The precision to be applied to the compare.

Return values - Indicates whether the compare was a match (1) or a mismatch (0).

  function void
 globals::svt_mem_sa_physical_address_init

 (  int unsigned phys_addr[6]  ) 


Initializes a physical address array to 0

phys_addr - the physical address unsinged int array

  function int
 globals::svt_mem_sa_record_file_data

 (  input int svt_mem_core_id, input longint unsigned addr, input bit [SVT_MEM_MAX_DATA_WIDTH-1:0] data  ) 

  function int
 globals::svt_mem_sa_record_file_data4

 (  input int svt_mem_core_id, input longint unsigned addr, input logic [SVT_MEM_MAX_DATA_WIDTH-1:0] data  ) 

  function int
 globals::svt_mem_sa_record_wrmasked_data

 (  input int svt_mem_core_id, input bit [SVT_MEM_MAX_DATA_WIDTH-1:0] data  ) 

  function int
 globals::svt_mem_sa_record_wrmasked_data4

 (  input int svt_mem_core_id, input logic [SVT_MEM_MAX_DATA_WIDTH-1:0] data  ) 

  task
 globals::svt_wait_for_nba_region

 (   ) 


Callers of this task will not return until the NBA region, thus allowing other processes any number of delta cycles (#0) to settle out before continuing.

  function string
 globals::uvm_bits_to_string

 (  logic [0:0] str  ) 

  function unsigned int
 globals::uvm_create_random_seed

 (  string type_id, string inst_id = ""  ) 

  function string
 globals::uvm_dpi_get_next_arg

 (   ) 

  function string
 globals::uvm_dpi_get_next_arg_c

 (   ) 

  function string
 globals::uvm_dpi_get_tool_name

 (   ) 

  function string
 globals::uvm_dpi_get_tool_name_c

 (   ) 

  function string
 globals::uvm_dpi_get_tool_version

 (   ) 

  function string
 globals::uvm_dpi_get_tool_version_c

 (   ) 

  function chandle
 globals::uvm_dpi_regcomp

 (  string regex  ) 

  function int
 globals::uvm_dpi_regexec

 (  chandle preg, string str  ) 

  function void
 globals::uvm_dpi_regfree

 (  chandle preg  ) 

  function void
 globals::uvm_dump_re_cache

 (   ) 

  function int
 globals::uvm_get_array_index_int

 (  string arg, output bit is_wildcard  ) 

  function string
 globals::uvm_get_array_index_string

 (  string arg, output bit is_wildcard  ) 

  function string
 globals::uvm_glob_to_re

 (  string glob  ) 

 automatic function function
 globals::uvm_has_wildcard

 (  string arg  ) 

 dpi context function int
 globals::uvm_hdl_check_path

 (  string path  ) 

 automatic function string
 globals::uvm_hdl_concat2string

 (  uvm_hdl_path_concat concat  ) 

 dpi context function int
 globals::uvm_hdl_deposit

 (  string path, uvm_hdl_data_t value  ) 

 dpi context function int
 globals::uvm_hdl_force

 (  string path, uvm_hdl_data_t value  ) 

  task
 globals::uvm_hdl_force_time

 (  string path, uvm_hdl_data_t value, time force_time = 0  ) 

 dpi context function int
 globals::uvm_hdl_read

 (  string path, output uvm_hdl_data_t value  ) 

 dpi context function int
 globals::uvm_hdl_release

 (  string path  ) 

 dpi context function int
 globals::uvm_hdl_release_and_read

 (  string path, inout uvm_hdl_data_t value  ) 

  function string
 globals::uvm_instance_scope

 (   ) 

  function bit
 globals::uvm_is_array

 (  string arg  ) 

  function bit
 globals::uvm_is_match

 (  string expr, string str  ) 

  function string
 globals::uvm_leaf_scope

 (  string full_name, byte scope_separator = "."  ) 

  function string
 globals::uvm_object_value_str

 (  uvm_object v  ) 

  function unsigned int
 globals::uvm_oneway_hash

 (  string string_in, int unsigned seed = 0  ) 

  function string
 globals::uvm_radix_to_string

 (  uvm_radix_enum radix  ) 

  function int
 globals::uvm_re_match

 (  string re, string str  ) 

  function void
 globals::uvm_report

 (  uvm_severity severity, string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0  ) 

  function bit
 globals::uvm_report_enabled

 (  int verbosity, uvm_severity severity = UVM_INFO, string id = ""  ) 

  function void
 globals::uvm_report_error

 (  string id, string message, int verbosity = UVM_LOW, string filename = "", int line = 0  ) 

  function void
 globals::uvm_report_fatal

 (  string id, string message, int verbosity = UVM_NONE, string filename = "", int line = 0  ) 

  function void
 globals::uvm_report_info

 (  string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0  ) 

  function void
 globals::uvm_report_warning

 (  string id, string message, int verbosity = UVM_MEDIUM, string filename = "", int line = 0  ) 

  function string
 globals::uvm_revision_string

 (   ) 

 automatic function void
 globals::uvm_split_string

 (  string str, byte sep, ref string values[$]  ) 

 automatic function function
 globals::uvm_string_to_action

 (  string action_str, output uvm_action action  ) 

  function logic [1:0]
 globals::uvm_string_to_bits

 (  string str  ) 

  function bit
 globals::uvm_string_to_severity

 (  string sev_str, output uvm_severity sev  ) 

  function string
 globals::uvm_vector_to_string

 (  uvm_bitstream_t value, int size, uvm_radix_enum radix = UVM_NORADIX, string radix_str = ""  ) 

  task
 globals::uvm_wait_for_nba_region

 (   ) 


Global Attribute Documentation

 uvm_phase  attribute
 globals::build_ph

 uvm_phase  attribute
 globals::check_ph

 uvm_phase  attribute
 globals::connect_ph

 uvm_phase  attribute
 globals::end_of_elaboration_ph

 uvm_phase  attribute
 globals::extract_ph

 const uvm_factory  attribute
 globals::factory = uvm_factory

 uvm_phase  attribute
 globals::report_ph

 const uvm_report_object  attribute
 globals::reporter = uvm_root


Clients wishing to pull in the UVM package manually have a couple of options for doing so.

  • SVT_EXCLUDE_METHODOLOGY_PKG - If the goal is to avoid having to specify UVM_HOME on the command line, then the SVT package needs to exclude all UVM file references. The client can accomplish this by setting the SVT_EXCLUDE_METHODOLOGY_PKG define on the command line. This will cause both uvm_pkg.sv and uvm_macros.svh to be excluded from svt.uvm.pkg. The testbench must ensure that the UVM package has already been compiled and that the uvm_pkg is available to be imported before compiling the VIP package when using this option. The uvm_macros.svh file must also be included by the testbench before compiling the VIP package.

  • SVT_EXCLUDE_METHODOLOGY_PKG_INCLUDE - If the goal is to just externalize the compile, but to support the specification of an UVM_HOME on the command line, then the SVT package just needs to exclude the 'include' reference for the UVM package. The client can accomplish this by setting the SVT_EXCLUDE_METHODOLOGY_PKG_INCLUDE define on the command line. This will cause uvm_pkg.sv to be excluded from svt.uvm.pkg, but uvm_macros.svh will still be included by the SVT package. The testbench must ensure that the UVM package has already been compiled and that the uvm_pkg is available to be imported before compiling the VIP package when using this option.

 uvm_phase  attribute
 globals::run_ph

 uvm_phase  attribute
 globals::start_of_simulation_ph

 const string  attribute
 globals::s_connection_error_id = "Connection Error"

 const string  attribute
 globals::s_connection_warning_id = "Connection Warning"

 const string  attribute
 globals::s_spaces = " "

 uvm_event  attribute
 globals::svt_seq_started = new

 string  attribute
 globals::uvm_aa_string_key

 const uvm_cmdline_processor  attribute
 globals::uvm_cmdline_proc = uvm_cmdline_processor

 uvm_comparer  attribute
 globals::uvm_default_comparer = new

 uvm_line_printer  attribute
 globals::uvm_default_line_printer = new

 uvm_packer  attribute
 globals::uvm_default_packer = new

 uvm_printer  attribute
 globals::uvm_default_printer = uvm_default_table_printer

 uvm_recorder  attribute
 globals::uvm_default_recorder = new

 uvm_table_printer  attribute
 globals::uvm_default_table_printer = new

 uvm_tree_printer  attribute
 globals::uvm_default_tree_printer = new

 uvm_copy_map  attribute
 globals::uvm_global_copy_map = new

 int unsigned  attribute
 globals::uvm_global_random_seed = $urandom

 uvm_seed_map  attribute
 globals::uvm_random_seed_table_lookup[string]

 const uvm_resource_pool  attribute
 globals::uvm_resources = uvm_resource_pool

 bit  attribute
 globals::uvm_start_uvm_declarations = 1

 const uvm_root  attribute
 globals::uvm_top = uvm_root

 const int  attribute
 globals::UVM_UNBOUNDED_CONNECTIONS = -1

 const uvm_root  attribute
 globals::_global_reporter = uvm_root

 uvm_test_done_objection  attribute
 globals::uvm_test_done = uvm_test_done_objection


Global Typedef Documentation

 typedef interface  globals::AXI_LP_IF

 Typedefe'd string ==>   svt_axi_lp_if

 typedef class  globals::axi_master_atomic_compare_xact_base_virtual_sequence

 Typedefe'd string ==>   svt_axi_master_atomic_compare_xact_base_virtual_sequence

 typedef class  globals::axi_master_atomic_load_xact_base_virtual_sequence

 Typedefe'd string ==>   svt_axi_master_atomic_load_xact_base_virtual_sequence

 typedef class  globals::axi_master_atomic_store_xact_base_virtual_sequence

 Typedefe'd string ==>   svt_axi_master_atomic_store_xact_base_virtual_sequence

 typedef class  globals::axi_master_atomic_swap_xact_base_virtual_sequence

 Typedefe'd string ==>   svt_axi_master_atomic_swap_xact_base_virtual_sequence

 typedef interface  globals::AXI_MASTER_IF

 Typedefe'd string ==>   svt_axi_master_if

 typedef interface  globals::AXI_SLAVE_IF

 Typedefe'd string ==>   svt_axi_slave_if

 typedef enum  globals::burst_enum

Fixed
Incr
Wrap

 typedef class  globals::cust_svt_tlm_gp_to_axi_master_transaction

 Typedefe'd string ==>   svt_tlm_gp_to_axi_master_transaction

 typedef class  globals::m_uvm_test_done_objection_base

 Typedefe'd string ==>   uvm_objection

 typedef enum  globals::resp_enum

Okay
ExOkay
SlvErr
DecErr

 Typedefe'd string ==>   uvm_sequencer_arb_mode

 typedef class  globals::svt_amba_pv_response

 Typedefe'd string ==>   typedefsvt_amba_pv_response

 typedef enum  globals::svt_apprepend

SVT_APPEND
SVT_PREPEND

 typedef class  globals::svt_axi_ace_master_base_sequence

 Typedefe'd string ==>   typedefsvt_axi_ace_master_base_sequence

 typedef class  globals::svt_axi_ace_master_base_virtual_sequence

 Typedefe'd string ==>   typedefsvt_axi_ace_master_base_virtual_sequence

 typedef class  globals::svt_axi_ace_master_snoop_response_sequence

 Typedefe'd string ==>   typedefsvt_axi_ace_master_snoop_response_sequence

 typedef class  globals::svt_axi_barrier_pair_transaction

 Typedefe'd string ==>   typedefsvt_axi_barrier_pair_transaction

 typedef class  globals::svt_axi_base_slave_common

 Typedefe'd string ==>   typedefsvt_axi_base_slave_common

 typedef class  globals::svt_axi_basic_writeback_full_cacheline

 Typedefe'd string ==>   typedefsvt_axi_basic_writeback_full_cacheline

 typedef class  globals::svt_axi_basic_writeclean_full_cacheline

 Typedefe'd string ==>   typedefsvt_axi_basic_writeclean_full_cacheline

 typedef class  globals::svt_axi_cacheline_initialization

 Typedefe'd string ==>   typedefsvt_axi_cacheline_initialization

 typedef class  globals::svt_axi_cacheline_invalidation

 Typedefe'd string ==>   typedefsvt_axi_cacheline_invalidation

 typedef class  globals::svt_axi_checker

 Typedefe'd string ==>   typedefsvt_axi_checker

 typedef class  globals::svt_axi_common

 Typedefe'd string ==>   typedefsvt_axi_common

 typedef class  globals::svt_axi_cov

 Typedefe'd string ==>   typedefsvt_axi_cov

 typedef class  globals::svt_axi_cov_data

 Typedefe'd string ==>   typedefsvt_axi_cov_data

 typedef class  globals::svt_axi_ic_slave_agent

 Typedefe'd string ==>   typedefsvt_axi_ic_slave_agent

 typedef class  globals::svt_axi_ic_slave_response_sequence

 Typedefe'd string ==>   typedefsvt_axi_ic_slave_response_sequence

 typedef class  globals::svt_axi_ic_snoop_input_port_type

 Typedefe'd string ==>   uvm_tlm_fifo#(svt_axi_ic_snoop_transaction)

 typedef class  globals::svt_axi_interconnect

 Typedefe'd string ==>   typedefsvt_axi_interconnect

 typedef class  globals::svt_axi_interconnect_callback

 Typedefe'd string ==>   typedefsvt_axi_interconnect_callback

 typedef class  globals::svt_axi_interconnect_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_interconnect,svt_axi_interconnect_callback)

 typedef class  globals::svt_axi_interconnect_configuration

 Typedefe'd string ==>   typedefsvt_axi_interconnect_configuration

 typedef class  globals::svt_axi_interconnect_env

 Typedefe'd string ==>   typedefsvt_axi_interconnect_env

 typedef class  globals::svt_axi_master_callback

 Typedefe'd string ==>   typedefsvt_axi_master_callback

 typedef class  globals::svt_axi_master_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_master,svt_axi_master_callback)

 typedef class  globals::svt_axi_master_input_port_type

 Typedefe'd string ==>   uvm_tlm_fifo#(svt_axi_master_transaction)

 typedef class  globals::svt_axi_master_sequencer

 Typedefe'd string ==>   typedefsvt_axi_master_sequencer

 typedef class  globals::svt_axi_master_sequencer_callback

 Typedefe'd string ==>   typedefsvt_axi_master_sequencer_callback

 typedef class  globals::svt_axi_master_sequencer_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_master_sequencer,svt_axi_master_sequencer_callback)

 typedef class  globals::svt_axi_passive_cache_line

 Typedefe'd string ==>   typedefsvt_axi_passive_cache_line

 typedef class  globals::svt_axi_port_configuration

 Typedefe'd string ==>   typedefsvt_axi_port_configuration

 typedef class  globals::svt_axi_port_monitor

 Typedefe'd string ==>   typedefsvt_axi_port_monitor

 typedef class  globals::svt_axi_port_monitor_callback

 Typedefe'd string ==>   typedefsvt_axi_port_monitor_callback

 typedef class  globals::svt_axi_port_monitor_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_port_monitor,svt_axi_port_monitor_callback)

 typedef class  globals::svt_axi_port_monitor_common

 Typedefe'd string ==>   typedefsvt_axi_port_monitor_common

 typedef class  globals::svt_axi_port_monitor_system_checker_callback

 Typedefe'd string ==>   typedefsvt_axi_port_monitor_system_checker_callback

 typedef class  globals::svt_axi_slave_addr_range

 Typedefe'd string ==>   typedefsvt_axi_slave_addr_range

 typedef class  globals::svt_axi_slave_callback

 Typedefe'd string ==>   typedefsvt_axi_slave_callback

 typedef class  globals::svt_axi_slave_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_slave,svt_axi_slave_callback)

 typedef class  globals::svt_axi_slave_transaction

 Typedefe'd string ==>   typedefsvt_axi_slave_transaction

 typedef class  globals::svt_axi_sysco_interface_fsm

 Typedefe'd string ==>   typedefsvt_axi_sysco_interface_fsm

 typedef class  globals::svt_axi_system_base_sequence

 Typedefe'd string ==>   typedefsvt_axi_system_base_sequence

 typedef class  globals::svt_axi_system_checker

 Typedefe'd string ==>   typedefsvt_axi_system_checker

 typedef class  globals::svt_axi_system_common

 Typedefe'd string ==>   typedefsvt_axi_system_common

 typedef class  globals::svt_axi_system_configuration

 Typedefe'd string ==>   typedefsvt_axi_system_configuration

 typedef class  globals::svt_axi_system_domain_item

 Typedefe'd string ==>   typedefsvt_axi_system_domain_item

 typedef class  globals::svt_axi_system_monitor

 Typedefe'd string ==>   typedefsvt_axi_system_monitor

 typedef class  globals::svt_axi_system_monitor_callback

 Typedefe'd string ==>   typedefsvt_axi_system_monitor_callback

 typedef class  globals::svt_axi_system_monitor_callback_pool

 Typedefe'd string ==>   uvm_callbacks#(svt_axi_system_monitor,svt_axi_system_monitor_callback)

 typedef class  globals::svt_axi_system_monitor_exclusive_sequence_transaction_activity_callback_data

 Typedefe'd string ==>   typedefsvt_axi_system_monitor_exclusive_sequence_transaction_activity_callback_data

 typedef class  globals::svt_axi_system_monitor_transaction_xml_callback

 Typedefe'd string ==>   typedefsvt_axi_system_monitor_transaction_xml_callback

 typedef class  globals::svt_axi_system_sequencer

 Typedefe'd string ==>   typedefsvt_axi_system_sequencer

 typedef class  globals::svt_axi_system_transaction

 Typedefe'd string ==>   typedefsvt_axi_system_transaction

 typedef class  globals::svt_axi_transaction

 Typedefe'd string ==>   typedefsvt_axi_transaction

 typedef class  globals::svt_callback

 Typedefe'd string ==>   typedefsvt_callback

 typedef class  globals::svt_debug_opts_carrier

 Typedefe'd string ==>   typedefsvt_debug_opts_carrier

 typedef class  globals::svt_err_check_report_catcher

 Typedefe'd string ==>   typedefsvt_err_check_report_catcher

 typedef class  globals::svt_fsm

 Typedefe'd string ==>   typedefsvt_fsm

 typedef class  globals::svt_fsm_callbacks

 Typedefe'd string ==>   svt_callbacks#(svt_fsm,svt_fsm_callback)

 typedef class  globals::svt_fsm_state_base

 Typedefe'd string ==>   typedefsvt_fsm_state_base

 typedef class  globals::svt_fsm_state_callbacks

 Typedefe'd string ==>   svt_callbacks#(svt_fsm_state_base,svt_fsm_state_callback)

 typedef class  globals::svt_fuzzy_real_comparer

 Typedefe'd string ==>   typedefsvt_fuzzy_real_comparer

 Typedefe'd string ==>   bit [SVT_MEM_MAX_ADDR_WIDTH-1:0]

 Typedefe'd string ==>   bit [SVT_MEM_MAX_ATTR_WIDTH-1:0]

 typedef class  globals::svt_mem_backdoor

 Typedefe'd string ==>   typedefsvt_mem_backdoor

 typedef class  globals::svt_mem_backdoor_2state

 Typedefe'd string ==>   typedefsvt_mem_backdoor_2state

 typedef class  globals::svt_mem_backdoor_4state

 Typedefe'd string ==>   typedefsvt_mem_backdoor_4state

 typedef enum  globals::svt_mem_clkrate_t

SVT_MEM_20MHz(20)
SVT_MEM_25MHz(25)
SVT_MEM_30MHz(30)
SVT_MEM_33MHz(33)
SVT_MEM_40MHz(40)
SVT_MEM_50MHz(50)
SVT_MEM_66MHz(66)
SVT_MEM_67MHz(67)
SVT_MEM_75MHz(75)
SVT_MEM_80MHz(80)
SVT_MEM_84MHz(84)
SVT_MEM_85MHz(85)
SVT_MEM_86MHz(86)
SVT_MEM_100MHz(100)
SVT_MEM_104MHz(104)
SVT_MEM_108MHz(108)
SVT_MEM_133MHz(133)
SVT_MEM_144MHz(144)
SVT_MEM_150MHz(150)
SVT_MEM_166MHz(166)
SVT_MEM_200MHz(200)
SVT_MEM_266MHz(266)
SVT_MEM_267MHz(267)
SVT_MEM_300MHz(300)
SVT_MEM_350MHz(350)
SVT_MEM_333MHz(333)
SVT_MEM_344MHz(344)
SVT_MEM_400MHz(400)
SVT_MEM_466MHz(466)
SVT_MEM_467MHz(467)
SVT_MEM_500MHz(500)
SVT_MEM_533MHz(533)
SVT_MEM_600MHz(600)
SVT_MEM_667MHz(667)
SVT_MEM_688MHz(688)
SVT_MEM_700MHz(700)
SVT_MEM_733MHz(733)
SVT_MEM_750MHz(750)
SVT_MEM_800MHz(800)
SVT_MEM_900MHz(900)
SVT_MEM_933MHz(933)
SVT_MEM_938MHz(938)
SVT_MEM_1000MHz(1000)
SVT_MEM_1066MHz(1066)
SVT_MEM_1100MHz(1100)
SVT_MEM_1200MHz(1200)
SVT_MEM_1250MHz(1250)
SVT_MEM_1300MHz(1300)
SVT_MEM_1333MHz(1333)
SVT_MEM_1350MHz(1350)
SVT_MEM_1375MHz(1375)
SVT_MEM_1400MHz(1400)
SVT_MEM_1450MHz(1450)
SVT_MEM_1466MHz(1466)
SVT_MEM_1500MHz(1500)
SVT_MEM_1550MHz(1550)
SVT_MEM_1600MHz(1600)
SVT_MEM_1650MHz(1650)
SVT_MEM_1700MHz(1700)
SVT_MEM_1750MHz(1750)
SVT_MEM_1800MHz(1800)
SVT_MEM_1850MHz(1850)
SVT_MEM_1866MHz(1866)
SVT_MEM_1900MHz(1900)
SVT_MEM_1950MHz(1950)
SVT_MEM_2000MHz(2000)
SVT_MEM_2050MHz(2050)
SVT_MEM_2100MHz(2100)
SVT_MEM_2125MHz(2125)
SVT_MEM_2133MHz(2133)
SVT_MEM_2150MHz(2150)
SVT_MEM_2200MHz(2200)
SVT_MEM_2250MHz(2250)
SVT_MEM_2350MHz(2350)
SVT_MEM_2300MHz(2300)
SVT_MEM_2400MHz(2400)
SVT_MEM_2500MHz(2500)
SVT_MEM_2600MHz(2600)
SVT_MEM_2667MHz(2667)
SVT_MEM_2800MHz(2800)
SVT_MEM_2900MHz(2900)
SVT_MEM_3000MHz(3000)
SVT_MEM_3200MHz(3200)

 Typedefe'd string ==>   bit [SVT_MEM_MAX_DATA_WIDTH-1:0]

 typedef enum  globals::svt_mem_depth_t

SVT_MEM_2Kb(`SVT_MEM_DEPTH_2KB)
SVT_MEM_4Kb(`SVT_MEM_DEPTH_4KB)
SVT_MEM_8Kb(`SVT_MEM_DEPTH_8KB)
SVT_MEM_16Kb(`SVT_MEM_DEPTH_16KB)
SVT_MEM_32Kb(`SVT_MEM_DEPTH_32KB)
SVT_MEM_64Kb(`SVT_MEM_DEPTH_64KB)
SVT_MEM_128Kb(`SVT_MEM_DEPTH_128KB)
SVT_MEM_256Kb(`SVT_MEM_DEPTH_256KB)
SVT_MEM_512Kb(`SVT_MEM_DEPTH_512KB)
SVT_MEM_1Mb(`SVT_MEM_DEPTH_1MB)
SVT_MEM_2Mb(`SVT_MEM_DEPTH_2MB)
SVT_MEM_4Mb(`SVT_MEM_DEPTH_4MB)
SVT_MEM_8Mb(`SVT_MEM_DEPTH_8MB)
SVT_MEM_16Mb(`SVT_MEM_DEPTH_16MB)
SVT_MEM_24Mb(`SVT_MEM_DEPTH_24MB)
SVT_MEM_32Mb(`SVT_MEM_DEPTH_32MB)
SVT_MEM_48Mb(`SVT_MEM_DEPTH_48MB)
SVT_MEM_64Mb(`SVT_MEM_DEPTH_64MB)
SVT_MEM_128Mb(`SVT_MEM_DEPTH_128MB)
SVT_MEM_192Mb(`SVT_MEM_DEPTH_192MB)
SVT_MEM_256Mb(`SVT_MEM_DEPTH_256MB)
SVT_MEM_384Mb(`SVT_MEM_DEPTH_384MB)
SVT_MEM_512Mb(`SVT_MEM_DEPTH_512MB)
SVT_MEM_768Mb(`SVT_MEM_DEPTH_768MB)
SVT_MEM_1536Mb(`SVT_MEM_DEPTH_1536MB)
SVT_MEM_1Gb(`SVT_MEM_DEPTH_1GB)
SVT_MEM_1_5Gb(`SVT_MEM_DEPTH_1_5GB)
SVT_MEM_2Gb(`SVT_MEM_DEPTH_2GB)
SVT_MEM_3Gb(`SVT_MEM_DEPTH_3GB)
SVT_MEM_4Gb(`SVT_MEM_DEPTH_4GB)
SVT_MEM_4_5Gb(`SVT_MEM_DEPTH_4_5GB)
SVT_MEM_6Gb(`SVT_MEM_DEPTH_6GB)
SVT_MEM_8Gb(`SVT_MEM_DEPTH_8GB)
SVT_MEM_8_5Gb(`SVT_MEM_DEPTH_8_5GB)
SVT_MEM_9Gb(`SVT_MEM_DEPTH_9GB)
SVT_MEM_12Gb(`SVT_MEM_DEPTH_12GB)
SVT_MEM_16Gb(`SVT_MEM_DEPTH_16GB)
SVT_MEM_24Gb(`SVT_MEM_DEPTH_24GB)
SVT_MEM_32Gb(`SVT_MEM_DEPTH_32GB)
SVT_MEM_48Gb(`SVT_MEM_DEPTH_48GB)
SVT_MEM_64Gb(`SVT_MEM_DEPTH_64GB)
SVT_MEM_96Gb(`SVT_MEM_DEPTH_96GB)
SVT_MEM_128Gb(`SVT_MEM_DEPTH_128GB)
SVT_MEM_192Gb(`SVT_MEM_DEPTH_192GB)
SVT_MEM_256Gb(`SVT_MEM_DEPTH_256GB)

 typedef class  globals::svt_mem_driver_callback

 Typedefe'd string ==>   typedefsvt_mem_driver_callback

 typedef class  globals::svt_mem_ram_sequence

 Typedefe'd string ==>   typedefsvt_mem_ram_sequence

 typedef struct  globals::svt_mem_sa_breakinfo

int unsigned break_type
int unsigned id
longint unsigned adr
int unsigned attrs
int unsigned status

 typedef struct  globals::svt_mem_sa_breakspec

int unsigned flags
int enabled
longint unsigned adr_lo
longint unsigned adr_hi
longint unsigned adr_mask
int unsigned attr
int unsigned attr_mask
int count
int notification

 typedef struct  globals::svt_mem_sa_patspec

int virtual_pattern
int unsigned pat_type
int delta_value
longint unsigned adr_lo
longint unsigned adr_hi
longint unsigned base_adr

 typedef struct  globals::svt_mem_sa_statdata

int unsigned pagesCreated
int unsigned pagesDestroyed
int unsigned numPages
int unsigned numPageNodes
int unsigned addrsAllocated
int unsigned numAddrs
int unsigned numUsedAddrs
int unsigned numHashBuckets
int unsigned hashBucketsInUse
int unsigned hashTableCacheHits
int unsigned hashTableCacheMisses
int unsigned uncachedPages
int unsigned minPageSearch
int unsigned maxPageSearch
longint unsigned avgPageSearch
int unsigned numSearches
int unsigned numSearchSteps
int unsigned numThisSearchSteps
int unsigned minPageSize
longint unsigned avgPageSize
int unsigned maxPageSize
int unsigned pageMerges
int unsigned numOptimizations
int unsigned pagenodeSplits
int unsigned numWrites
int unsigned numReads

 typedef class  globals::svt_mem_sequence

 Typedefe'd string ==>   typedefsvt_mem_sequence

 typedef class  globals::svt_mem_vendor_catalog_base

 Typedefe'd string ==>   typedefsvt_mem_vendor_catalog_base

 typedef enum  globals::svt_mem_width_t

SVT_MEM_x1(1)
SVT_MEM_x2(2)
SVT_MEM_x4(4)
SVT_MEM_x8(8)
SVT_MEM_x16(16)
SVT_MEM_x32(32)
SVT_MEM_x64(64)
SVT_MEM_x128(128)
SVT_MEM_x256(256)
SVT_MEM_x512(512)
SVT_MEM_x1k(1024)

 typedef class  globals::svt_non_abstract_report_object

 Typedefe'd string ==>   typedefsvt_non_abstract_report_object

 typedef class  globals::svt_pattern

 Typedefe'd string ==>   typedefsvt_pattern

 typedef class  globals::svt_phase

 Typedefe'd string ==>   uvm_phase

 typedef class  globals::svt_reactive_sequence

 Typedefe'd string ==>   typedefsvt_reactive_sequence

 typedef class  globals::svt_report_catcher

 Typedefe'd string ==>   uvm_report_catcher

 typedef class  globals::svt_sequence

 Typedefe'd string ==>   typedefsvt_sequence

 typedef class  globals::svt_sequence_item_base

 Typedefe'd string ==>   typedefsvt_sequence_item_base

 typedef class  globals::svt_sequence_item_base_iter

 Typedefe'd string ==>   typedefsvt_sequence_item_base_iter

 typedef class  globals::svt_uvm_callback

 Typedefe'd string ==>   svt_callback

 typedef class  globals::svt_uvm_cmd_assistant

 Typedefe'd string ==>   typedefsvt_uvm_cmd_assistant

 typedef class  globals::svt_uvm_cmd_assistant_report_catcher

 Typedefe'd string ==>   typedefsvt_uvm_cmd_assistant_report_catcher

 typedef class  globals::svt_vip_writer

 Typedefe'd string ==>   typedefsvt_vip_writer

 typedef class  globals::svt_xml_writer

 Typedefe'd string ==>   typedefsvt_xml_writer

 typedef enum  globals::uvm_access_e

UVM_READ
UVM_WRITE
UVM_BURST_READ
UVM_BURST_WRITE

 Typedefe'd string ==>   int

 typedef enum  globals::uvm_action_type

UVM_NO_ACTION('b000000)
UVM_DISPLAY('b000001)
UVM_LOG('b000010)
UVM_COUNT('b000100)
UVM_EXIT('b001000)
UVM_CALL_HOOK('b010000)
UVM_STOP('b100000)

 typedef enum  globals::uvm_active_passive_enum

UVM_PASSIVE(0)
UVM_ACTIVE(1)

 typedef enum  globals::uvm_apprepend

UVM_APPEND
UVM_PREPEND

 typedef class  globals::uvm_barrier

 Typedefe'd string ==>   typedefuvm_barrier

 typedef class  globals::uvm_barrier_pool

 Typedefe'd string ==>   uvm_object_string_pool#(uvm_barrier)

 Typedefe'd string ==>   logic signed[4095:0]

 typedef class  globals::uvm_build_phase

 Typedefe'd string ==>   typedefuvm_build_phase

 typedef class  globals::uvm_callback

 Typedefe'd string ==>   typedefuvm_callback

 typedef class  globals::uvm_callbacks_base

 Typedefe'd string ==>   typedefuvm_callbacks_base

 typedef class  globals::uvm_callbacks_objection

 Typedefe'd string ==>   typedefuvm_callbacks_objection

 typedef enum  globals::uvm_check_e

UVM_NO_CHECK
UVM_CHECK

 typedef class  globals::uvm_check_phase

 Typedefe'd string ==>   typedefuvm_check_phase

 typedef class  globals::uvm_cmdline_processor

 Typedefe'd string ==>   typedefuvm_cmdline_processor

 typedef class  globals::uvm_comparer

 Typedefe'd string ==>   typedefuvm_comparer

 typedef class  globals::uvm_component

 Typedefe'd string ==>   typedefuvm_component

 typedef class  globals::uvm_config_db_options

 Typedefe'd string ==>   typedefuvm_config_db_options

 typedef class  globals::uvm_config_object

 Typedefe'd string ==>   uvm_config_db#(uvm_object)

 typedef class  globals::uvm_config_seq

 Typedefe'd string ==>   uvm_config_db#(uvm_sequence_base)

 typedef class  globals::uvm_config_wrapper

 Typedefe'd string ==>   uvm_config_db#(uvm_object_wrapper)

 typedef class  globals::uvm_configure_phase

 Typedefe'd string ==>   typedefuvm_configure_phase

 typedef class  globals::uvm_config_int

 Typedefe'd string ==>   uvm_config_db#()

 typedef class  globals::uvm_config_string

 Typedefe'd string ==>   uvm_config_db#(string)

 typedef class  globals::uvm_connect_phase

 Typedefe'd string ==>   typedefuvm_connect_phase

 typedef enum  globals::uvm_coverage_model_e

UVM_NO_COVERAGE('h0000)
UVM_CVR_REG_BITS('h0001)
UVM_CVR_ADDR_MAP('h0002)
UVM_CVR_FIELD_VALS('h0004)
UVM_CVR_ALL(-1)

 typedef class  globals::uvm_default_driver_type

 Typedefe'd string ==>   uvm_driver#(uvm_sequence_item,uvm_sequence_item)

 typedef class  globals::uvm_default_sequence_type

 Typedefe'd string ==>   uvm_sequence#(uvm_sequence_item,uvm_sequence_item)

 typedef class  globals::uvm_default_sequencer_param_type

 Typedefe'd string ==>   uvm_sequencer_param_base#(uvm_sequence_item,uvm_sequence_item)

 typedef class  globals::uvm_default_sequencer_type

 Typedefe'd string ==>   uvm_sequencer#(uvm_sequence_item,uvm_sequence_item)

 typedef class  globals::uvm_domain

 Typedefe'd string ==>   typedefuvm_domain

 typedef enum  globals::uvm_elem_kind_e

UVM_REG
UVM_FIELD
UVM_MEM

 typedef class  globals::uvm_end_of_elaboration_phase

 Typedefe'd string ==>   typedefuvm_end_of_elaboration_phase

 typedef enum  globals::uvm_endianness_e

UVM_NO_ENDIAN
UVM_LITTLE_ENDIAN
UVM_BIG_ENDIAN
UVM_LITTLE_FIFO
UVM_BIG_FIFO

 typedef class  globals::uvm_env

 Typedefe'd string ==>   typedefuvm_env

 typedef class  globals::uvm_event

 Typedefe'd string ==>   typedefuvm_event

 typedef class  globals::uvm_event_pool

 Typedefe'd string ==>   uvm_object_string_pool#(uvm_event)

 typedef class  globals::uvm_extract_phase

 Typedefe'd string ==>   typedefuvm_extract_phase

 typedef class  globals::uvm_factory_override

 Typedefe'd string ==>   typedefuvm_factory_override

 Typedefe'd string ==>   int

 typedef class  globals::uvm_final_phase

 Typedefe'd string ==>   typedefuvm_final_phase

 Typedefe'd string ==>   logic [1023:0]

 typedef struct  globals::uvm_hdl_path_slice

string path
int offset
int size

 typedef class  globals::uvm_heartbeat_callback

 Typedefe'd string ==>   typedefuvm_heartbeat_callback

 typedef class  globals::uvm_heartbeat_cbs_t

 Typedefe'd string ==>   uvm_callbacks#(uvm_callbacks_objection,uvm_heartbeat_callback)

 typedef enum  globals::uvm_heartbeat_modes

UVM_ALL_ACTIVE
UVM_ONE_ACTIVE
UVM_ANY_ACTIVE
UVM_NO_HB_MODE

 typedef enum  globals::uvm_hier_e

UVM_NO_HIER
UVM_HIER

 typedef class  globals::uvm_id_actions_array

 Typedefe'd string ==>   uvm_pool#(string,)

 typedef class  globals::uvm_id_file_array

 Typedefe'd string ==>   uvm_pool#(string,)

 typedef class  globals::uvm_id_verbosities_array

 Typedefe'd string ==>   uvm_pool#(string,int)

 typedef class  globals::uvm_line_printer

 Typedefe'd string ==>   typedefuvm_line_printer

 typedef class  globals::uvm_main_phase

 Typedefe'd string ==>   typedefuvm_main_phase

 typedef class  globals::uvm_mem

 Typedefe'd string ==>   typedefuvm_mem

 typedef class  globals::uvm_mem_access_seq

 Typedefe'd string ==>   typedefuvm_mem_access_seq

 typedef class  globals::uvm_mem_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_mem,uvm_reg_cbs)

 typedef class  globals::uvm_mem_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_mem,uvm_reg_cbs)

 typedef class  globals::uvm_mem_mam

 Typedefe'd string ==>   typedefuvm_mem_mam

 typedef class  globals::uvm_mem_mam_cfg

 Typedefe'd string ==>   typedefuvm_mem_mam_cfg

 typedef class  globals::uvm_mem_mam_policy

 Typedefe'd string ==>   typedefuvm_mem_mam_policy

 typedef class  globals::uvm_mem_region

 Typedefe'd string ==>   typedefuvm_mem_region

 typedef class  globals::uvm_object

 Typedefe'd string ==>   typedefuvm_object

 typedef class  globals::uvm_objection_callback

 Typedefe'd string ==>   typedefuvm_objection_callback

 typedef class  globals::uvm_objection_cbs_t

 Typedefe'd string ==>   uvm_callbacks#(uvm_objection,uvm_objection_callback)

 typedef class  globals::uvm_objection_context_object

 Typedefe'd string ==>   typedefuvm_objection_context_object

 typedef enum  globals::uvm_objection_event

UVM_RAISED('h01)
UVM_DROPPED('h02)
UVM_ALL_DROPPED('h04)

 typedef class  globals::uvm_object_wrapper

 Typedefe'd string ==>   typedefuvm_object_wrapper

 typedef class  globals::uvm_objection

 Typedefe'd string ==>   typedefuvm_objection

 typedef class  globals::uvm_packer

 Typedefe'd string ==>   typedefuvm_packer

 Typedefe'd string ==>   bit signed[-1:0]

 typedef enum  globals::uvm_path_e

UVM_FRONTDOOR
UVM_BACKDOOR
UVM_PREDICT
UVM_DEFAULT_PATH

 typedef class  globals::uvm_phase

 Typedefe'd string ==>   typedefuvm_phase

 typedef enum  globals::uvm_phase_state

UVM_PHASE_DORMANT(1)
UVM_PHASE_SCHEDULED(2)
UVM_PHASE_SYNCING(4)
UVM_PHASE_STARTED(8)
UVM_PHASE_EXECUTING(16)
UVM_PHASE_READY_TO_END(32)
UVM_PHASE_ENDED(64)
UVM_PHASE_CLEANUP(128)
UVM_PHASE_DONE(256)
UVM_PHASE_JUMPING(512)

 typedef enum  globals::uvm_phase_transition

UVM_COMPLETED('h01)
UVM_FORCED_STOP('h02)
UVM_SKIPPED('h04)
UVM_RERUN('h08)

 typedef enum  globals::uvm_phase_type

UVM_PHASE_IMP
UVM_PHASE_NODE
UVM_PHASE_TERMINAL
UVM_PHASE_SCHEDULE
UVM_PHASE_DOMAIN
UVM_PHASE_GLOBAL

 typedef class  globals::uvm_port_component_base

 Typedefe'd string ==>   typedefuvm_port_component_base

 typedef class  globals::uvm_port_list

 Typedefe'd string ==>   uvm_port_component_base

 typedef enum  globals::uvm_port_type_e

UVM_PORT
UVM_EXPORT
UVM_IMPLEMENTATION

 typedef class  globals::uvm_post_configure_phase

 Typedefe'd string ==>   typedefuvm_post_configure_phase

 typedef class  globals::uvm_post_main_phase

 Typedefe'd string ==>   typedefuvm_post_main_phase

 typedef class  globals::uvm_post_reset_phase

 Typedefe'd string ==>   typedefuvm_post_reset_phase

 typedef class  globals::uvm_post_shutdown_phase

 Typedefe'd string ==>   typedefuvm_post_shutdown_phase

 typedef enum  globals::uvm_predict_e

UVM_PREDICT_DIRECT
UVM_PREDICT_READ
UVM_PREDICT_WRITE

 typedef class  globals::uvm_pre_configure_phase

 Typedefe'd string ==>   typedefuvm_pre_configure_phase

 typedef class  globals::uvm_pre_main_phase

 Typedefe'd string ==>   typedefuvm_pre_main_phase

 typedef class  globals::uvm_pre_reset_phase

 Typedefe'd string ==>   typedefuvm_pre_reset_phase

 typedef class  globals::uvm_pre_shutdown_phase

 Typedefe'd string ==>   typedefuvm_pre_shutdown_phase

 typedef class  globals::uvm_printer

 Typedefe'd string ==>   typedefuvm_printer

 typedef class  globals::uvm_printer_knobs

 Typedefe'd string ==>   typedefuvm_printer_knobs

 typedef struct  globals::uvm_printer_row_info

int level
string name
string type_name
string size
string val

 typedef enum  globals::uvm_radix_enum

UVM_BIN('h1000000)
UVM_DEC('h2000000)
UVM_UNSIGNED('h3000000)
UVM_UNFORMAT2('h4000000)
UVM_UNFORMAT4('h5000000)
UVM_OCT('h6000000)
UVM_HEX('h7000000)
UVM_STRING('h8000000)
UVM_TIME('h9000000)
UVM_ENUM('ha000000)
UVM_REAL('hb000000)
UVM_REAL_DEC('hc000000)
UVM_REAL_EXP('hd000000)
UVM_NORADIX(0)

 typedef class  globals::uvm_recorder

 Typedefe'd string ==>   typedefuvm_recorder

 typedef enum  globals::uvm_recursion_policy_enum

UVM_DEFAULT_POLICY(0)
UVM_DEEP('h400)
UVM_SHALLOW('h800)
UVM_REFERENCE('h1000)

 typedef class  globals::uvm_reg

 Typedefe'd string ==>   typedefuvm_reg

 typedef class  globals::uvm_reg_adapter

 Typedefe'd string ==>   typedefuvm_reg_adapter

 Typedefe'd string ==>   logic unsigned[UVM_REG_ADDR_WIDTH-1:0]

 Typedefe'd string ==>   bit unsigned[UVM_REG_ADDR_WIDTH-1:0]

 typedef class  globals::uvm_reg_backdoor

 Typedefe'd string ==>   typedefuvm_reg_backdoor

 typedef class  globals::uvm_reg_bd_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_reg_backdoor,uvm_reg_cbs)

 typedef class  globals::uvm_reg_bd_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_reg_backdoor,uvm_reg_cbs)

 typedef class  globals::uvm_reg_block

 Typedefe'd string ==>   typedefuvm_reg_block

 typedef struct  globals::uvm_reg_bus_op

uvm_access_e kind
uvm_reg_addr_t addr
uvm_reg_data_t data
int n_bits
uvm_reg_byte_en_t byte_en
uvm_status_e status

 Typedefe'd string ==>   bit unsigned[UVM_REG_BYTENABLE_WIDTH-1:0]

 typedef class  globals::uvm_reg_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_reg,uvm_reg_cbs)

 typedef class  globals::uvm_reg_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_reg,uvm_reg_cbs)

 typedef class  globals::uvm_reg_cbs

 Typedefe'd string ==>   typedefuvm_reg_cbs

 typedef class  globals::uvm_reg_cvr_rsrc_db

 Typedefe'd string ==>   uvm_resource_db#()

 Typedefe'd string ==>   bit [UVM_REG_CVR_WIDTH-1:0]

 Typedefe'd string ==>   logic unsigned[UVM_REG_DATA_WIDTH-1:0]

 Typedefe'd string ==>   bit unsigned[UVM_REG_DATA_WIDTH-1:0]

 typedef class  globals::uvm_reg_field

 Typedefe'd string ==>   typedefuvm_reg_field

 typedef class  globals::uvm_reg_field_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_reg_field,uvm_reg_cbs)

 typedef class  globals::uvm_reg_field_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_reg_field,uvm_reg_cbs)

 typedef class  globals::uvm_reg_file

 Typedefe'd string ==>   typedefuvm_reg_file

 typedef class  globals::uvm_reg_frontdoor

 Typedefe'd string ==>   typedefuvm_reg_frontdoor

 typedef class  globals::uvm_reg_indirect_data

 Typedefe'd string ==>   typedefuvm_reg_indirect_data

 typedef class  globals::uvm_reg_indirect_ftdr_seq

 Typedefe'd string ==>   typedefuvm_reg_indirect_ftdr_seq

 typedef class  globals::uvm_reg_item

 Typedefe'd string ==>   typedefuvm_reg_item

 typedef class  globals::uvm_reg_map

 Typedefe'd string ==>   typedefuvm_reg_map

 typedef struct  globals::uvm_reg_map_addr_range

uvm_reg_addr_t min
uvm_reg_addr_t max
int unsigned stride

 typedef class  globals::uvm_reg_map_info

 Typedefe'd string ==>   typedefuvm_reg_map_info

 typedef enum  globals::uvm_reg_mem_tests_e

UVM_DO_REG_HW_RESET(64'h0000_0000_0000_0001)
UVM_DO_REG_BIT_BASH(64'h0000_0000_0000_0002)
UVM_DO_REG_ACCESS(64'h0000_0000_0000_0004)
UVM_DO_MEM_ACCESS(64'h0000_0000_0000_0008)
UVM_DO_SHARED_ACCESS(64'h0000_0000_0000_0010)
UVM_DO_MEM_WALK(64'h0000_0000_0000_0020)
UVM_DO_ALL_REG_MEM_TESTS(64'hffff_ffff_ffff_ffff)

 typedef class  globals::uvm_reg_sequence

 Typedefe'd string ==>   typedefuvm_reg_sequence

 typedef class  globals::uvm_report_catcher

 Typedefe'd string ==>   typedefuvm_report_catcher

 typedef class  globals::uvm_report_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_report_object,uvm_report_catcher)

 typedef class  globals::uvm_report_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_report_object,uvm_report_catcher)

 typedef class  globals::uvm_report_handler

 Typedefe'd string ==>   typedefuvm_report_handler

 typedef class  globals::uvm_report_object

 Typedefe'd string ==>   typedefuvm_report_object

 typedef class  globals::uvm_report_phase

 Typedefe'd string ==>   typedefuvm_report_phase

 typedef class  globals::uvm_report_server

 Typedefe'd string ==>   typedefuvm_report_server

 typedef class  globals::uvm_reset_phase

 Typedefe'd string ==>   typedefuvm_reset_phase

 typedef class  globals::uvm_resource_base

 Typedefe'd string ==>   typedefuvm_resource_base

 typedef class  globals::uvm_resource_db_options

 Typedefe'd string ==>   typedefuvm_resource_db_options

 typedef class  globals::uvm_root

 Typedefe'd string ==>   typedefuvm_root

 typedef class  globals::uvm_run_phase

 Typedefe'd string ==>   typedefuvm_run_phase

 typedef enum  globals::uvm_sequence_lib_mode

UVM_SEQ_LIB_RAND
UVM_SEQ_LIB_RANDC
UVM_SEQ_LIB_ITEM
UVM_SEQ_LIB_USER

 typedef class  globals::uvm_sequence_library_cfg

 Typedefe'd string ==>   typedefuvm_sequence_library_cfg

 typedef class  globals::uvm_sequence_request

 Typedefe'd string ==>   typedefuvm_sequence_request

 typedef enum  globals::uvm_sequencer_arb_mode

SEQ_ARB_FIFO
SEQ_ARB_WEIGHTED
SEQ_ARB_RANDOM
SEQ_ARB_STRICT_FIFO
SEQ_ARB_STRICT_RANDOM
SEQ_ARB_USER

 typedef enum  globals::uvm_sequence_state

CREATED(1)
PRE_START(2)
PRE_BODY(4)
BODY(8)
POST_BODY(16)
POST_START(32)
ENDED(64)
STOPPED(128)
FINISHED(256)

 Typedefe'd string ==>   uvm_sequence_state

 typedef class  globals::uvm_sequencer_base

 Typedefe'd string ==>   typedefuvm_sequencer_base

 typedef class  globals::uvm_sequence_base

 Typedefe'd string ==>   typedefuvm_sequence_base

 typedef class  globals::uvm_sequence_item

 Typedefe'd string ==>   typedefuvm_sequence_item

 Typedefe'd string ==>   bit [1:0]

 typedef enum  globals::uvm_severity_type

UVM_INFO
UVM_WARNING
UVM_ERROR
UVM_FATAL

 typedef class  globals::uvm_sev_override_array

 Typedefe'd string ==>   uvm_pool#(,)

 typedef class  globals::uvm_shutdown_phase

 Typedefe'd string ==>   typedefuvm_shutdown_phase

 typedef class  globals::uvm_start_of_simulation_phase

 Typedefe'd string ==>   typedefuvm_start_of_simulation_phase

 typedef class  globals::uvm_status_container

 Typedefe'd string ==>   typedefuvm_status_container

 typedef enum  globals::uvm_status_e

UVM_IS_OK
UVM_NOT_OK
UVM_HAS_X

 typedef class  globals::uvm_table_printer

 Typedefe'd string ==>   typedefuvm_table_printer

 typedef class  globals::uvm_table_printer_knobs

 Typedefe'd string ==>   uvm_printer_knobs

 typedef class  globals::uvm_task_phase

 Typedefe'd string ==>   typedefuvm_task_phase

 typedef class  globals::uvm_test_done_objection

 Typedefe'd string ==>   typedefuvm_test_done_objection

 typedef enum  globals::uvm_tlm_command_e

UVM_TLM_READ_COMMAND
UVM_TLM_WRITE_COMMAND
UVM_TLM_IGNORE_COMMAND

 typedef class  globals::uvm_tlm_event

 Typedefe'd string ==>   typedefuvm_tlm_event

 typedef class  globals::uvm_tlm_extension_base

 Typedefe'd string ==>   typedefuvm_tlm_extension_base

 typedef class  globals::uvm_tlm_gp

 Typedefe'd string ==>   uvm_tlm_generic_payload

 typedef enum  globals::uvm_tlm_phase_e

UNINITIALIZED_PHASE
BEGIN_REQ
END_REQ
BEGIN_RESP
END_RESP

 typedef enum  globals::uvm_tlm_response_status_e

UVM_TLM_OK_RESPONSE(1)
UVM_TLM_INCOMPLETE_RESPONSE(0)
UVM_TLM_GENERIC_ERROR_RESPONSE(-1)
UVM_TLM_ADDRESS_ERROR_RESPONSE(-2)
UVM_TLM_COMMAND_ERROR_RESPONSE(-3)
UVM_TLM_BURST_ERROR_RESPONSE(-4)
UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE(-5)

 typedef enum  globals::uvm_tlm_sync_e

UVM_TLM_ACCEPTED
UVM_TLM_UPDATED
UVM_TLM_COMPLETED

 typedef class  globals::uvm_tree_printer

 Typedefe'd string ==>   typedefuvm_tree_printer

 typedef class  globals::uvm_tree_printer_knobs

 Typedefe'd string ==>   uvm_printer_knobs

 typedef enum  globals::uvm_verbosity

UVM_NONE(0)
UVM_LOW(100)
UVM_MEDIUM(200)
UVM_HIGH(300)
UVM_FULL(400)
UVM_DEBUG(500)

 typedef class  globals::uvm_virtual_sequencer

 Typedefe'd string ==>   uvm_sequencer#(uvm_sequence_item)

 typedef class  globals::uvm_vreg

 Typedefe'd string ==>   typedefuvm_vreg

 typedef class  globals::uvm_vreg_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_vreg,uvm_vreg_cbs)

 typedef class  globals::uvm_vreg_cbs

 Typedefe'd string ==>   typedefuvm_vreg_cbs

 typedef class  globals::uvm_vreg_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_vreg,uvm_vreg_cbs)

 typedef class  globals::uvm_vreg_field

 Typedefe'd string ==>   typedefuvm_vreg_field

 typedef class  globals::uvm_vreg_field_cb

 Typedefe'd string ==>   uvm_callbacks#(uvm_vreg_field,uvm_vreg_field_cbs)

 typedef class  globals::uvm_vreg_field_cbs

 Typedefe'd string ==>   typedefuvm_vreg_field_cbs

 typedef class  globals::uvm_vreg_field_cb_iter

 Typedefe'd string ==>   uvm_callback_iter#(uvm_vreg_field,uvm_vreg_field_cbs)

 typedef enum  globals::uvm_wait_op

UVM_LT
UVM_LTE
UVM_NE
UVM_EQ
UVM_GT
UVM_GTE