|
UC |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
UCE |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
UD |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
UDP |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
uid |
attribute |
attribute type string, defined in class svt_pa_object_data |
| uid |
function arg |
arg type string, defined in function svt_pa_object_data :: new |
| uid |
function arg |
arg type string, defined in function svt_sequence_item_base :: get_pa_obj_data |
| uid |
function arg |
arg type string, defined in function svt_sequence_item :: get_pa_obj_data |
| uid |
function arg |
arg type string, defined in function svt_axi_cache :: set_transaction_uid |
| uid |
function arg |
arg type string, defined in function svt_chi_system_monitor_system_data :: get_pa_obj_data |
|
UNASSIGNED |
enum value |
member of svt_sequence_item_base :: kind_enum |
|
unassociated_axi_slave_xacts |
attribute |
attribute type svt_axi_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data |
|
unassociated_sn_xacts |
attribute |
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data |
|
unassociated_sys_xacts |
attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data |
|
unexpected_poison_value_in_dat_flit_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
unfilter |
function |
function, defined in class svt_err_check_report_catcher,
returns type void |
|
unfilter_error |
function |
function, defined in class svt_err_check,
returns type void |
|
ungrab |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| ungrab |
function |
function, defined in class uvm_sequence_base,
returns type void |
|
UNINITIALIZED_PHASE |
enum value |
member of global items uvm_tlm_phase_e |
|
UNIQUE |
enum value |
member of svt_traffic_profile_transaction :: attr_val_type_enum |
|
unique_id |
function arg |
arg type string, defined in function svt_err_check :: find |
| unique_id |
function arg |
arg type string, defined in function svt_err_check :: get_err_check_stats |
| unique_id |
function arg |
arg type string, defined in function svt_err_check :: get_check_stats |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_slave_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_read_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_req_order_stream_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_compack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snp_response_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_xact_type_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_trace_tag_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_invalid_lcredit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_range_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_tx_illegal_state_transition :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rx_illegal_state_transition :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readspec_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicload_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_persist_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readshared_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readclean_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readunique_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeunique_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_dvmop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_evict_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readonce_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_compdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_invalid_req_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_invalid_snp_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_invalid_data_flit_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check :: set_unique_id |
| unique_id |
function arg |
arg type string, defined in function svt_chi_link_err_check_stats_cov_port_interleaving_check :: set_unique_id |
|
UNKNOWN_ACTION |
enum value |
member of uvm_report_catcher :: action_e |
|
UNKNOWNS |
enum value |
member of uvm_mem :: init_e |
| UNKNOWNS |
enum value |
member of svt_mem :: meminit_enum |
|
unlock |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| unlock |
function |
function, defined in class uvm_sequence_base,
returns type void |
|
unmapped |
function arg |
arg type bit, defined in function uvm_reg :: set_offset |
| unmapped |
function arg |
arg type bit, defined in function uvm_mem :: set_offset |
| unmapped |
function arg |
arg type bit, defined in function uvm_reg_map :: add_reg |
| unmapped |
function arg |
arg type bit, defined in function uvm_reg_map :: add_mem |
| unmapped |
function arg |
arg type bit, defined in function uvm_reg_map :: m_set_reg_offset |
| unmapped |
function arg |
arg type bit, defined in function uvm_reg_map :: m_set_mem_offset |
| unmapped |
attribute |
attribute type bit, defined in class uvm_reg_map_info |
|
unpack |
function |
function, defined in class uvm_object,
returns type int |
|
unpack_byte_stream_to_atomic_read_data |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
unpack_byte_stream_to_byte_enable |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
unpack_byte_stream_to_data |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
unpack_bytes |
function |
function, defined in class uvm_object,
returns type int |
|
unpack_field |
function |
function, defined in class uvm_packer,
returns type uvm_bitstream_t |
|
unpack_field_int |
function |
function, defined in class uvm_packer,
returns type logic [63:0] |
|
unpack_ints |
function |
function, defined in class uvm_object,
returns type int |
|
unpack_object |
function |
function, defined in class uvm_packer,
returns type void |
|
unpack_object_ext |
function |
function, defined in class uvm_packer,
returns type void |
|
unpack_pattern_data |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
unpack_real |
function |
function, defined in class uvm_packer,
returns type real |
|
unpack_string |
function |
function, defined in class uvm_packer,
returns type string |
|
unpack_time |
function |
function, defined in class uvm_packer,
returns type time |
|
unpacked_byte_enable |
function arg |
arg type output bit [SVT_CHI_XACT_MAX_BE_WIDTH-1:0], defined in function svt_chi_transaction :: unpack_byte_stream_to_byte_enable |
|
unpacked_data |
function arg |
arg type output bit [SVT_CHI_XACT_MAX_DATA_WIDTH-1:0], defined in function svt_chi_transaction :: unpack_byte_stream_to_data |
| unpacked_data |
function arg |
arg type output bit [SVT_CHI_XACT_MAX_DATA_WIDTH-1:0], defined in function svt_chi_transaction :: unpack_byte_stream_to_atomic_read_data |
|
unregister_check |
function |
function, defined in class svt_err_check,
returns type void |
|
unregister_err_check_stats |
function |
function, defined in class svt_err_check,
returns type void |
|
unscramble |
function |
function, defined in class svt_data_converter,
returns type void |
| unscramble |
function |
function, defined in class svt_sequence_item,
returns type void |
|
UNSET |
enum value |
member of svt_chi_link_status :: lcrd_suspend_resume_status_enum |
|
unset_arg |
function |
function, defined in class uvm_scope_stack,
returns type void |
|
unsigned_radix |
attribute |
attribute type string, defined in class uvm_printer_knobs |
|
unsync |
function |
function, defined in class uvm_phase,
returns type void |
|
unused_bits_in_dvm_write_data_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
up |
function |
function, defined in class uvm_scope_stack,
returns type void |
|
up_element |
function |
function, defined in class uvm_scope_stack,
returns type void |
|
update |
task |
defined in class uvm_reg |
| update |
task |
defined in class uvm_reg_block |
| update |
task |
defined in class uvm_reg_indirect_data |
| update |
task |
defined in class uvm_reg_fifo |
|
update_age |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
update_cache_for_prot_type |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
update_cache_status |
attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
|
update_exclusive_store_ack_status |
task |
defined in class svt_chi_exclusive_monitor |
|
update_fifo_levels_every_clock |
function |
function, defined in class svt_fifo_rate_control,
returns type void |
| update_fifo_levels_every_clock |
task |
defined in class svt_amba_fifo_rate_control |
|
update_fifo_levels_on_data_xmit |
task |
defined in class svt_fifo_rate_control |
| update_fifo_levels_on_data_xmit |
task |
defined in class svt_amba_fifo_rate_control |
|
update_is_l3_access_applicable |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
update_is_snoop_filter_access_applicable |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
update_l3_access_events |
function |
function, defined in class svt_chi_system_transaction,
returns type l3_access_type_enum |
|
update_reg |
task |
defined in class uvm_reg_sequence |
|
update_rn_cache_status |
function |
function, defined in class svt_chi_system_base_virtual_sequence,
returns type bit |
|
update_sequence_id |
function arg |
arg type bit, defined in function uvm_sequence_base :: m_get_sqr_sequence_id |
|
update_snoop_filter |
function arg |
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_snoop_filter_update_for_coherent |
| update_snoop_filter |
function arg |
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_snoop_filter_update_for_snoop |
|
update_snp_filter_events |
function |
function, defined in class svt_chi_system_transaction,
returns type sf_access_type_enum |
|
update_status |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
update_tag_status |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
update_total_expected_fill_levels |
task |
defined in class svt_fifo_rate_control |
| update_total_expected_fill_levels |
task |
defined in class svt_amba_fifo_rate_control |
|
update_xact_performance_parameters |
function |
function, defined in class svt_chi_node_pmu,
returns type void |
|
UPSTREAM |
enum value |
member of uvm_reg_sequence :: seq_parent_e |
|
upstream_parent |
attribute |
attribute type uvm_sequence_base, defined in class uvm_reg_sequence |
|
upstream_snoop_xact_resultant_of_downstream_snoop_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data |
| upstream_snoop_xact_resultant_of_downstream_snoop_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data |
|
use_coherent_xacts_mem_attr_snp_attr_for_cmo_atomics |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| use_coherent_xacts_mem_attr_snp_attr_for_cmo_atomics |
attribute |
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence |
|
use_coherent_xacts_mem_attr_snp_attr_for_cmo_atomics_status |
attribute |
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence |
|
use_directed_addr |
attribute |
attribute type rand bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_allocate_in_cache |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_byte_enable |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_data |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_data_size |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_mem_attr |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_non_secure_access |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_directed_snp_attr |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_external_node_prot_monitor |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
use_fallback |
function arg |
arg type input bit, defined in function svt_config_object_db :: get_from_parent |
|
use_interconnect |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
use_meminit_settings |
function arg |
arg type bit, defined in function svt_chi_memory :: read_poison |
|
use_metadata |
attribute |
attribute type bit, defined in class uvm_packer |
|
use_multiple_order_streams |
attribute |
attribute type int, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
|
use_pv_socket |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
use_response_handler |
function |
function, defined in class uvm_sequence_base,
returns type void |
|
use_seq_data_size |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_seq_flag_to_resume_response_for_outstanding_xact |
attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
|
use_seq_is_non_secure_access |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
use_seq_order_type |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| use_seq_order_type |
attribute |
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence |
|
use_seq_order_type_status |
attribute |
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence |
|
use_seq_p_crd_return_on_retry_ack |
attribute |
attribute type bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
use_tagged_addr |
function arg |
arg type bit, defined in function svt_chi_transaction :: get_min_byte_address |
| use_tagged_addr |
function arg |
arg type bit, defined in function svt_chi_transaction :: get_max_byte_address |
| use_tagged_addr |
function arg |
arg type bit, defined in function svt_chi_transaction :: get_atomic_min_byte_address |
| use_tagged_addr |
function arg |
arg type bit, defined in function svt_chi_transaction :: get_atomic_max_byte_address |
|
use_tlm_generic_payload |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
use_uvm_seeding |
attribute |
attribute type static bit, defined in class uvm_object |
|
used |
function |
function, defined in class uvm_tlm_fifo_base,
returns type int |
| used |
function |
function, defined in class uvm_tlm_fifo,
returns type int |
|
user |
function arg |
arg type int unsigned, defined in function svt_amba_pv_extension :: set_user |
|
user_comp_final_state |
attribute |
attribute type rand svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_ic_sn_transaction |
|
USER_DEFINED |
enum value |
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum |
|
user_pattern |
attribute |
attribute type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in class svt_mem |
| USER_PATTERN |
enum value |
member of svt_mem :: meminit_enum |
|
user_priority_arbitration |
function |
function, defined in class uvm_sequencer_base,
returns type integer |
|
USER_SUSPEND_CLEARED |
enum value |
member of svt_chi_common_transaction :: chi_suspend_resume_status_enum |
|
USER_SUSPEND_SET |
enum value |
member of svt_chi_common_transaction :: chi_suspend_resume_status_enum |
|
uvm_aa_string_key |
attribute |
attribute type string, defined in global |
|
UVM_ABSTRACT |
attribute |
attribute type parameter, defined in global |
|
uvm_access_e |
enum typedef |
defined in global |
|
uvm_action_type |
enum typedef |
defined in global |
|
UVM_ACTIVE |
enum value |
member of global items uvm_active_passive_enum |
|
uvm_active_passive_enum |
enum typedef |
defined in global |
|
uvm_agent |
class |
|
|
uvm_algorithmic_comparator |
class |
|
|
UVM_ALL_ACTIVE |
enum value |
member of global items uvm_heartbeat_modes |
|
UVM_ALL_DROPPED |
enum value |
member of global items uvm_objection_event |
|
UVM_ALL_ON |
attribute |
attribute type parameter, defined in global |
|
uvm_analysis_export |
class |
|
|
uvm_analysis_imp |
class |
|
|
uvm_analysis_imp_rn_coh_xact |
class |
|
|
uvm_analysis_imp_rn_snp_xact |
class |
|
|
uvm_analysis_imp_rx_dat_flit |
class |
|
|
uvm_analysis_imp_rx_rsp_flit |
class |
|
|
uvm_analysis_imp_rx_snp_flit |
class |
|
|
uvm_analysis_imp_sn_rx_dat_flit |
class |
|
|
uvm_analysis_imp_sn_rx_req_flit |
class |
|
|
uvm_analysis_imp_sn_tx_dat_flit |
class |
|
|
uvm_analysis_imp_sn_tx_rsp_flit |
class |
|
|
uvm_analysis_imp_sn_xact |
class |
|
|
uvm_analysis_imp_tx_dat_flit |
class |
|
|
uvm_analysis_imp_tx_req_flit |
class |
|
|
uvm_analysis_imp_tx_rsp_flit |
class |
|
|
uvm_analysis_port |
class |
|
|
UVM_ANY_ACTIVE |
enum value |
member of global items uvm_heartbeat_modes |
|
UVM_APPEND |
enum value |
member of global items uvm_apprepend |
|
uvm_apprepend |
enum typedef |
defined in global |
|
UVM_BACKDOOR |
enum value |
member of global items uvm_path_e |
|
uvm_barrier |
class |
|
| uvm_barrier |
class typedef |
defined in global |
|
uvm_barrier_pool |
class typedef |
defined in global |
|
UVM_BIG_ENDIAN |
enum value |
member of global items uvm_endianness_e |
|
UVM_BIG_FIFO |
enum value |
member of global items uvm_endianness_e |
|
UVM_BIN |
enum value |
member of global items uvm_radix_enum |
|
uvm_bit_rsrc |
class |
|
|
uvm_bits_to_string |
function |
function, defined in global,
returns type string |
|
uvm_blocking_get_export |
class |
|
|
uvm_blocking_get_imp |
class |
|
|
uvm_blocking_get_imp_snp_xact |
class |
|
|
uvm_blocking_get_peek_export |
class |
|
|
uvm_blocking_get_peek_imp |
class |
|
|
uvm_blocking_get_peek_port |
class |
|
|
uvm_blocking_get_port |
class |
|
|
uvm_blocking_master_export |
class |
|
|
uvm_blocking_master_imp |
class |
|
|
uvm_blocking_master_port |
class |
|
|
uvm_blocking_peek_export |
class |
|
|
uvm_blocking_peek_imp |
class |
|
|
uvm_blocking_peek_port |
class |
|
|
uvm_blocking_put_export |
class |
|
|
uvm_blocking_put_imp |
class |
|
|
uvm_blocking_put_imp_rn_dat_flit |
class |
|
|
uvm_blocking_put_imp_rn_req_flit |
class |
|
|
uvm_blocking_put_imp_rn_rsp_flit |
class |
|
|
uvm_blocking_put_imp_rx_dat_flit |
class |
|
|
uvm_blocking_put_imp_rx_rsp_flit |
class |
|
|
uvm_blocking_put_imp_rx_snp_flit |
class |
|
|
uvm_blocking_put_imp_sn_dat_flit |
class |
|
|
uvm_blocking_put_imp_sn_rsp_flit |
class |
|
|
uvm_blocking_put_imp_sn_rx_dat_flit |
class |
|
|
uvm_blocking_put_imp_sn_rx_req_flit |
class |
|
|
uvm_blocking_put_port |
class |
|
|
uvm_blocking_slave_export |
class |
|
|
uvm_blocking_slave_imp |
class |
|
|
uvm_blocking_slave_port |
class |
|
|
uvm_blocking_transport_export |
class |
|
|
uvm_blocking_transport_imp |
class |
|
|
uvm_blocking_transport_port |
class |
|
|
uvm_bottomup_phase |
class |
|
|
uvm_build_phase |
class |
|
| uvm_build_phase |
class typedef |
defined in global |
|
uvm_built_in_clone |
class |
|
|
uvm_built_in_comp |
class |
|
|
uvm_built_in_converter |
class |
|
|
uvm_built_in_pair |
class |
|
|
UVM_BURST_READ |
enum value |
member of global items uvm_access_e |
|
UVM_BURST_WRITE |
enum value |
member of global items uvm_access_e |
|
uvm_byte_rsrc |
class |
|
|
UVM_CALL_HOOK |
enum value |
member of global items uvm_action_type |
|
uvm_callback |
class |
|
| uvm_callback |
class typedef |
defined in global |
|
uvm_callback_iter |
class |
|
|
uvm_callbacks |
class |
|
|
uvm_callbacks_base |
class |
|
| uvm_callbacks_base |
class typedef |
defined in global |
|
uvm_callbacks_objection |
class |
|
| uvm_callbacks_objection |
class typedef |
defined in global |
|
uvm_cdn_copyright |
attribute |
attribute type parameter, defined in global |
|
UVM_CHECK |
enum value |
member of global items uvm_check_e |
|
uvm_check_e |
enum typedef |
defined in global |
|
UVM_CHECK_FIELDS |
attribute |
attribute type parameter, defined in global |
|
uvm_check_phase |
class |
|
| uvm_check_phase |
class typedef |
defined in global |
|
uvm_class_clone |
class |
|
|
uvm_class_comp |
class |
|
|
uvm_class_converter |
class |
|
|
uvm_class_pair |
class |
|
|
uvm_cmd_line_verb |
class |
|
|
uvm_cmdline_proc |
attribute |
attribute type const uvm_cmdline_processor, defined in global |
|
uvm_cmdline_processor |
class |
|
| uvm_cmdline_processor |
class typedef |
defined in global |
|
UVM_COMPARE |
attribute |
attribute type parameter, defined in global |
|
uvm_comparer |
class |
|
| uvm_comparer |
class typedef |
defined in global |
|
UVM_COMPLETED |
enum value |
member of global items uvm_phase_transition |
|
uvm_component |
class |
|
| uvm_component |
class typedef |
defined in global |
|
uvm_component_registry |
class |
|
|
uvm_config_db |
class |
|
|
uvm_config_db_options |
class |
|
| uvm_config_db_options |
class typedef |
defined in global |
|
uvm_config_int |
class typedef |
defined in global |
|
uvm_config_object |
class typedef |
defined in global |
|
uvm_config_object_wrapper |
class |
|
|
uvm_config_seq |
class typedef |
defined in global |
|
uvm_config_string |
class typedef |
defined in global |
|
uvm_config_wrapper |
class typedef |
defined in global |
|
uvm_configure_phase |
class |
|
| uvm_configure_phase |
class typedef |
defined in global |
|
uvm_connect_phase |
class |
|
| uvm_connect_phase |
class typedef |
defined in global |
|
UVM_COPY |
attribute |
attribute type parameter, defined in global |
|
uvm_copy_map |
class |
|
|
UVM_COUNT |
enum value |
member of global items uvm_action_type |
|
uvm_coverage_model_e |
enum typedef |
defined in global |
|
uvm_create_random_seed |
function |
function, defined in global,
returns type unsigned int |
|
UVM_CVR_ADDR_MAP |
enum value |
member of global items uvm_coverage_model_e |
|
UVM_CVR_ALL |
enum value |
member of global items uvm_coverage_model_e |
|
UVM_CVR_FIELD_VALS |
enum value |
member of global items uvm_coverage_model_e |
|
UVM_CVR_REG_BITS |
enum value |
member of global items uvm_coverage_model_e |
|
uvm_cy_copyright |
attribute |
attribute type parameter, defined in global |
|
UVM_DEBUG |
enum value |
member of global items uvm_verbosity |
|
UVM_DEC |
enum value |
member of global items uvm_radix_enum |
|
UVM_DEEP |
enum value |
member of global items uvm_recursion_policy_enum |
|
UVM_DEFAULT |
attribute |
attribute type parameter, defined in global |
|
uvm_default_comparer |
attribute |
attribute type uvm_comparer, defined in global |
|
uvm_default_driver_type |
class typedef |
defined in global |
|
uvm_default_line_printer |
attribute |
attribute type uvm_line_printer, defined in global |
|
uvm_default_packer |
attribute |
attribute type uvm_packer, defined in global |
|
UVM_DEFAULT_PATH |
enum value |
member of global items uvm_path_e |
|
UVM_DEFAULT_POLICY |
enum value |
member of global items uvm_recursion_policy_enum |
|
uvm_default_printer |
attribute |
attribute type uvm_printer, defined in global |
|
uvm_default_recorder |
attribute |
attribute type uvm_recorder, defined in global |
|
uvm_default_sequence_type |
class typedef |
defined in global |
|
uvm_default_sequencer_param_type |
class typedef |
defined in global |
|
uvm_default_sequencer_type |
class typedef |
defined in global |
|
uvm_default_table_printer |
attribute |
attribute type uvm_table_printer, defined in global |
|
uvm_default_tree_printer |
attribute |
attribute type uvm_tree_printer, defined in global |
|
uvm_derived_callbacks |
class |
|
|
UVM_DISPLAY |
enum value |
member of global items uvm_action_type |
|
UVM_DO_ALL_REG_MEM_TESTS |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_MEM_ACCESS |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_MEM_WALK |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_REG_ACCESS |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_REG_BIT_BASH |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_REG_HW_RESET |
enum value |
member of global items uvm_reg_mem_tests_e |
|
UVM_DO_SHARED_ACCESS |
enum value |
member of global items uvm_reg_mem_tests_e |
|
uvm_domain |
class |
|
| uvm_domain |
class typedef |
defined in global |
|
uvm_dpi_get_next_arg |
function |
function, defined in global,
returns type string |
|
uvm_dpi_get_next_arg_c |
function |
function, defined in global,
returns type string |
|
uvm_dpi_get_tool_name |
function |
function, defined in global,
returns type string |
|
uvm_dpi_get_tool_name_c |
function |
function, defined in global,
returns type string |
|
uvm_dpi_get_tool_version |
function |
function, defined in global,
returns type string |
|
uvm_dpi_get_tool_version_c |
function |
function, defined in global,
returns type string |
|
uvm_dpi_regcomp |
function |
function, defined in global,
returns type chandle |
|
uvm_dpi_regexec |
function |
function, defined in global,
returns type int |
|
uvm_dpi_regfree |
function |
function, defined in global,
returns type void |
|
uvm_driver |
class |
|
|
UVM_DROPPED |
enum value |
member of global items uvm_objection_event |
|
uvm_dump_re_cache |
function |
function, defined in global,
returns type void |
|
uvm_elem_kind_e |
enum typedef |
defined in global |
|
UVM_END_DATA_EXTRA |
attribute |
attribute type parameter, defined in global |
|
UVM_END_FUNCS |
attribute |
attribute type parameter, defined in global |
|
uvm_end_of_elaboration_phase |
class |
|
| uvm_end_of_elaboration_phase |
class typedef |
defined in global |
|
uvm_endianness_e |
enum typedef |
defined in global |
|
UVM_ENUM |
enum value |
member of global items uvm_radix_enum |
|
uvm_env |
class |
|
| uvm_env |
class typedef |
defined in global |
|
UVM_EQ |
enum value |
member of global items uvm_wait_op |
|
UVM_ERROR |
enum value |
member of global items uvm_severity_type |
|
uvm_event |
class |
|
| uvm_event |
class typedef |
defined in global |
|
uvm_event_callback |
class |
|
|
uvm_event_pool |
class typedef |
defined in global |
|
uvm_exhaustive_sequence |
class |
|
|
UVM_EXIT |
enum value |
member of global items uvm_action_type |
|
UVM_EXPORT |
enum value |
member of global items uvm_port_type_e |
|
uvm_extract_phase |
class |
|
| uvm_extract_phase |
class typedef |
defined in global |
|
uvm_factory |
class |
|
|
uvm_factory_override |
class |
|
| uvm_factory_override |
class typedef |
defined in global |
|
uvm_factory_queue_class |
class |
|
|
UVM_FATAL |
enum value |
member of global items uvm_severity_type |
|
UVM_FIELD |
enum value |
member of global items uvm_elem_kind_e |
|
uvm_final_phase |
class |
|
| uvm_final_phase |
class typedef |
defined in global |
|
UVM_FLAGS |
attribute |
attribute type parameter, defined in global |
|
UVM_FLAGS_OFF |
attribute |
attribute type parameter, defined in global |
|
UVM_FLAGS_ON |
attribute |
attribute type parameter, defined in global |
|
UVM_FORCED_STOP |
enum value |
member of global items uvm_phase_transition |
|
UVM_FRONTDOOR |
enum value |
member of global items uvm_path_e |
|
UVM_FULL |
enum value |
member of global items uvm_verbosity |
|
uvm_get_array_index_int |
function |
function, defined in global,
returns type int |
|
uvm_get_array_index_string |
function |
function, defined in global,
returns type string |
|
uvm_get_export |
class |
|
|
uvm_get_imp |
class |
|
|
uvm_get_max_verbosity |
function |
function, defined in class uvm_report_object,
returns type int |
|
uvm_get_peek_export |
class |
|
|
uvm_get_peek_imp |
class |
|
|
uvm_get_peek_port |
class |
|
|
uvm_get_port |
class |
|
|
uvm_glob_to_re |
function |
function, defined in global,
returns type string |
|
uvm_global_copy_map |
attribute |
attribute type uvm_copy_map, defined in global |
|
uvm_global_random_seed |
attribute |
attribute type int unsigned, defined in global |
|
UVM_GT |
enum value |
member of global items uvm_wait_op |
|
UVM_GTE |
enum value |
member of global items uvm_wait_op |
|
uvm_has_wildcard |
function |
function, defined in global,
returns type function |
|
UVM_HAS_X |
enum value |
member of global items uvm_status_e |
|
uvm_hdl_check_path |
function |
function, defined in global,
returns type int |
|
uvm_hdl_concat2string |
function |
function, defined in global,
returns type string |
|
uvm_hdl_deposit |
function |
function, defined in global,
returns type int |
|
uvm_hdl_force |
function |
function, defined in global,
returns type int |
|
uvm_hdl_force_time |
task |
defined in global |
|
UVM_HDL_MAX_WIDTH |
attribute |
attribute type parameter, defined in global |
|
uvm_hdl_path_concat |
class |
|
|
uvm_hdl_path_slice |
struct typedef |
defined in global |
|
uvm_hdl_read |
function |
function, defined in global,
returns type int |
|
uvm_hdl_release |
function |
function, defined in global,
returns type int |
|
uvm_hdl_release_and_read |
function |
function, defined in global,
returns type int |
|
uvm_heartbeat |
class |
|
|
uvm_heartbeat_callback |
class |
|
| uvm_heartbeat_callback |
class typedef |
defined in global |
|
uvm_heartbeat_cbs_t |
class typedef |
defined in global |
|
uvm_heartbeat_modes |
enum typedef |
defined in global |
|
UVM_HEX |
enum value |
member of global items uvm_radix_enum |
|
UVM_HIER |
enum value |
member of global items uvm_hier_e |
|
uvm_hier_e |
enum typedef |
defined in global |
|
UVM_HIGH |
enum value |
member of global items uvm_verbosity |
|
uvm_id_actions_array |
class typedef |
defined in global |
|
uvm_id_file_array |
class typedef |
defined in global |
|
uvm_id_verbosities_array |
class typedef |
defined in global |
|
UVM_IMPLEMENTATION |
enum value |
member of global items uvm_port_type_e |
|
uvm_in_order_built_in_comparator |
class |
|
|
uvm_in_order_class_comparator |
class |
|
|
uvm_in_order_comparator |
class |
|
|
UVM_INFO |
enum value |
member of global items uvm_severity_type |
|
uvm_instance_scope |
function |
function, defined in global,
returns type string |
|
uvm_int_rsrc |
class |
|
|
uvm_is_array |
function |
function, defined in global,
returns type bit |
|
uvm_is_match |
function |
function, defined in global,
returns type bit |
|
UVM_IS_OK |
enum value |
member of global items uvm_status_e |
|
UVM_LARGE_STRING |
attribute |
attribute type parameter, defined in global |
|
uvm_leaf_scope |
function |
function, defined in global,
returns type string |
|
uvm_line_printer |
class |
|
| uvm_line_printer |
class typedef |
defined in global |
|
UVM_LINE_WIDTH |
attribute |
attribute type parameter, defined in global |
|
UVM_LITTLE_ENDIAN |
enum value |
member of global items uvm_endianness_e |
|
UVM_LITTLE_FIFO |
enum value |
member of global items uvm_endianness_e |
|
UVM_LOG |
enum value |
member of global items uvm_action_type |
|
UVM_LOW |
enum value |
member of global items uvm_verbosity |
|
UVM_LT |
enum value |
member of global items uvm_wait_op |
|
UVM_LTE |
enum value |
member of global items uvm_wait_op |
|
UVM_MACRO_EXTRAS |
attribute |
attribute type parameter, defined in global |
|
UVM_MACRO_NUMFLAGS |
attribute |
attribute type parameter, defined in global |
|
uvm_main_phase |
class |
|
| uvm_main_phase |
class typedef |
defined in global |
|
uvm_master_export |
class |
|
|
uvm_master_imp |
class |
|
|
uvm_master_port |
class |
|
|
UVM_MEDIUM |
enum value |
member of global items uvm_verbosity |
|
uvm_mem |
class |
|
| uvm_mem |
class typedef |
defined in global |
| UVM_MEM |
enum value |
member of global items uvm_elem_kind_e |
|
uvm_mem_access_seq |
class |
|
| uvm_mem_access_seq |
class typedef |
defined in global |
|
uvm_mem_cb |
class typedef |
defined in global |
|
uvm_mem_cb_iter |
class typedef |
defined in global |
|
uvm_mem_mam |
class |
|
| uvm_mem_mam |
class typedef |
defined in global |
|
uvm_mem_mam_cfg |
class |
|
| uvm_mem_mam_cfg |
class typedef |
defined in global |
|
uvm_mem_mam_cfg_valid |
constraint |
defined in class uvm_mem_mam_cfg |
|
uvm_mem_mam_policy |
class |
|
| uvm_mem_mam_policy |
class typedef |
defined in global |
|
uvm_mem_mam_policy_no_overlap |
constraint |
defined in class uvm_mem_mam_policy |
|
uvm_mem_mam_policy_valid |
constraint |
defined in class uvm_mem_mam_policy |
|
uvm_mem_region |
class |
|
| uvm_mem_region |
class typedef |
defined in global |
|
uvm_mem_shared_access_seq |
class |
|
|
uvm_mem_single_access_seq |
class |
|
|
uvm_mem_single_walk_seq |
class |
|
|
uvm_mem_walk_seq |
class |
|
|
uvm_mgc_copyright |
attribute |
attribute type parameter, defined in global |
|
uvm_monitor |
class |
|
|
UVM_NE |
enum value |
member of global items uvm_wait_op |
|
UVM_NO_ACTION |
enum value |
member of global items uvm_action_type |
|
UVM_NO_CHECK |
enum value |
member of global items uvm_check_e |
|
UVM_NO_COVERAGE |
enum value |
member of global items uvm_coverage_model_e |
|
UVM_NO_ENDIAN |
enum value |
member of global items uvm_endianness_e |
|
UVM_NO_HB_MODE |
enum value |
member of global items uvm_heartbeat_modes |
|
UVM_NO_HIER |
enum value |
member of global items uvm_hier_e |
|
UVM_NOCOMPARE |
attribute |
attribute type parameter, defined in global |
|
UVM_NOCOPY |
attribute |
attribute type parameter, defined in global |
|
UVM_NODEFPRINT |
attribute |
attribute type parameter, defined in global |
|
uvm_nonblocking_get_export |
class |
|
|
uvm_nonblocking_get_imp |
class |
|
|
uvm_nonblocking_get_peek_export |
class |
|
|
uvm_nonblocking_get_peek_imp |
class |
|
|
uvm_nonblocking_get_peek_port |
class |
|
|
uvm_nonblocking_get_port |
class |
|
|
uvm_nonblocking_master_export |
class |
|
|
uvm_nonblocking_master_imp |
class |
|
|
uvm_nonblocking_master_port |
class |
|
|
uvm_nonblocking_peek_export |
class |
|
|
uvm_nonblocking_peek_imp |
class |
|
|
uvm_nonblocking_peek_port |
class |
|
|
uvm_nonblocking_put_export |
class |
|
|
uvm_nonblocking_put_imp |
class |
|
|
uvm_nonblocking_put_port |
class |
|
|
uvm_nonblocking_slave_export |
class |
|
|
uvm_nonblocking_slave_imp |
class |
|
|
uvm_nonblocking_slave_port |
class |
|
|
uvm_nonblocking_transport_export |
class |
|
|
uvm_nonblocking_transport_imp |
class |
|
|
uvm_nonblocking_transport_port |
class |
|
|
UVM_NONE |
enum value |
member of global items uvm_verbosity |
|
UVM_NOPACK |
attribute |
attribute type parameter, defined in global |
|
UVM_NOPRINT |
attribute |
attribute type parameter, defined in global |
|
UVM_NORADIX |
enum value |
member of global items uvm_radix_enum |
|
UVM_NORECORD |
attribute |
attribute type parameter, defined in global |
|
UVM_NOT_OK |
enum value |
member of global items uvm_status_e |
|
UVM_NUM_LINES |
attribute |
attribute type parameter, defined in global |
|
uvm_obj_rsrc |
class |
|
|
uvm_object |
class |
|
| uvm_object |
class typedef |
defined in global |
|
uvm_object_registry |
class |
|
|
uvm_object_string_pool |
class |
|
|
uvm_object_value_str |
function |
function, defined in global,
returns type string |
|
uvm_object_wrapper |
class |
|
| uvm_object_wrapper |
class typedef |
defined in global |
|
uvm_objection |
class |
|
| uvm_objection |
class typedef |
defined in global |
|
uvm_objection_callback |
class |
|
| uvm_objection_callback |
class typedef |
defined in global |
|
uvm_objection_cbs_t |
class typedef |
defined in global |
|
uvm_objection_context_object |
class |
|
| uvm_objection_context_object |
class typedef |
defined in global |
|
uvm_objection_event |
enum typedef |
defined in global |
|
uvm_objection_events |
class |
|
|
UVM_OCT |
enum value |
member of global items uvm_radix_enum |
|
UVM_ONE_ACTIVE |
enum value |
member of global items uvm_heartbeat_modes |
|
uvm_oneway_hash |
function |
function, defined in global,
returns type unsigned int |
|
UVM_PACK |
attribute |
attribute type parameter, defined in global |
|
uvm_packer |
class |
|
| uvm_packer |
class typedef |
defined in global |
|
UVM_PASSIVE |
enum value |
member of global items uvm_active_passive_enum |
|
uvm_path_e |
enum typedef |
defined in global |
|
uvm_peek_export |
class |
|
|
uvm_peek_imp |
class |
|
|
uvm_peek_port |
class |
|
|
uvm_phase |
class |
|
| uvm_phase |
class typedef |
defined in global |
|
UVM_PHASE_CLEANUP |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_DOMAIN |
enum value |
member of global items uvm_phase_type |
|
UVM_PHASE_DONE |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_DORMANT |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_ENDED |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_EXECUTING |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_GLOBAL |
enum value |
member of global items uvm_phase_type |
|
UVM_PHASE_IMP |
enum value |
member of global items uvm_phase_type |
|
UVM_PHASE_JUMPING |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_NODE |
enum value |
member of global items uvm_phase_type |
|
UVM_PHASE_READY_TO_END |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_SCHEDULE |
enum value |
member of global items uvm_phase_type |
|
UVM_PHASE_SCHEDULED |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_STARTED |
enum value |
member of global items uvm_phase_state |
|
uvm_phase_state |
enum typedef |
defined in global |
|
UVM_PHASE_SYNCING |
enum value |
member of global items uvm_phase_state |
|
UVM_PHASE_TERMINAL |
enum value |
member of global items uvm_phase_type |
|
uvm_phase_transition |
enum typedef |
defined in global |
|
uvm_phase_type |
enum typedef |
defined in global |
|
UVM_PHYSICAL |
attribute |
attribute type parameter, defined in global |
|
uvm_pkg |
class |
|
| uvm_pkg |
class |
|
| uvm_pkg |
class |
|
|
uvm_pool |
class |
|
|
UVM_PORT |
enum value |
member of global items uvm_port_type_e |
|
uvm_port_base |
class |
|
|
uvm_port_component |
class |
|
|
uvm_port_component_base |
class |
|
| uvm_port_component_base |
class typedef |
defined in global |
|
uvm_port_list |
class typedef |
defined in global |
|
uvm_port_type_e |
enum typedef |
defined in global |
|
uvm_post_configure_phase |
class |
|
| uvm_post_configure_phase |
class typedef |
defined in global |
|
uvm_post_main_phase |
class |
|
| uvm_post_main_phase |
class typedef |
defined in global |
|
uvm_post_reset_phase |
class |
|
| uvm_post_reset_phase |
class typedef |
defined in global |
|
uvm_post_shutdown_phase |
class |
|
| uvm_post_shutdown_phase |
class typedef |
defined in global |
|
uvm_pre_configure_phase |
class |
|
| uvm_pre_configure_phase |
class typedef |
defined in global |
|
uvm_pre_main_phase |
class |
|
| uvm_pre_main_phase |
class typedef |
defined in global |
|
uvm_pre_reset_phase |
class |
|
| uvm_pre_reset_phase |
class typedef |
defined in global |
|
uvm_pre_shutdown_phase |
class |
|
| uvm_pre_shutdown_phase |
class typedef |
defined in global |
|
UVM_PREDICT |
enum value |
member of global items uvm_path_e |
|
UVM_PREDICT_DIRECT |
enum value |
member of global items uvm_predict_e |
|
uvm_predict_e |
enum typedef |
defined in global |
|
UVM_PREDICT_READ |
enum value |
member of global items uvm_predict_e |
|
uvm_predict_s |
class |
|
|
UVM_PREDICT_WRITE |
enum value |
member of global items uvm_predict_e |
|
UVM_PREPEND |
enum value |
member of global items uvm_apprepend |
|
UVM_PRINT |
attribute |
attribute type parameter, defined in global |
|
uvm_printer |
class |
|
| uvm_printer |
class typedef |
defined in global |
|
uvm_printer_knobs |
class |
|
| uvm_printer_knobs |
class typedef |
defined in global |
|
uvm_printer_row_info |
struct typedef |
defined in global |
|
uvm_push_driver |
class |
|
|
uvm_push_sequencer |
class |
|
|
uvm_put_export |
class |
|
|
uvm_put_imp |
class |
|
|
uvm_put_port |
class |
|
|
uvm_queue |
class |
|
|
UVM_RADIX |
attribute |
attribute type parameter, defined in global |
|
uvm_radix_enum |
enum typedef |
defined in global |
|
uvm_radix_to_string |
function |
function, defined in global,
returns type string |
|
UVM_RAISED |
enum value |
member of global items uvm_objection_event |
|
uvm_random_seed_table_lookup |
attribute |
attribute type uvm_seed_map, defined in global |
|
uvm_random_sequence |
class |
|
|
uvm_random_stimulus |
class |
|
|
uvm_re_match |
function |
function, defined in global,
returns type int |
|
UVM_READ |
enum value |
member of global items uvm_access_e |
|
UVM_READONLY |
attribute |
attribute type parameter, defined in global |
|
UVM_REAL |
enum value |
member of global items uvm_radix_enum |
|
UVM_REAL_DEC |
enum value |
member of global items uvm_radix_enum |
|
UVM_REAL_EXP |
enum value |
member of global items uvm_radix_enum |
|
UVM_RECORD |
attribute |
attribute type parameter, defined in global |
|
uvm_recorder |
class |
|
| uvm_recorder |
class typedef |
defined in global |
|
uvm_recursion_policy_enum |
enum typedef |
defined in global |
|
UVM_REFERENCE |
enum value |
member of global items uvm_recursion_policy_enum |
|
uvm_reg |
class |
|
| uvm_reg |
class typedef |
defined in global |
| UVM_REG |
enum value |
member of global items uvm_elem_kind_e |
|
uvm_reg_access_seq |
class |
|
|
uvm_reg_adapter |
class |
|
| uvm_reg_adapter |
class typedef |
defined in global |
|
uvm_reg_backdoor |
class |
|
| uvm_reg_backdoor |
class typedef |
defined in global |
|
uvm_reg_bd_cb |
class typedef |
defined in global |
|
uvm_reg_bd_cb_iter |
class typedef |
defined in global |
|
uvm_reg_bit_bash_seq |
class |
|
|
uvm_reg_block |
class |
|
| uvm_reg_block |
class typedef |
defined in global |
|
uvm_reg_bus_op |
struct typedef |
defined in global |
|
uvm_reg_cb |
class typedef |
defined in global |
|
uvm_reg_cb_iter |
class typedef |
defined in global |
|
uvm_reg_cbs |
class |
|
| uvm_reg_cbs |
class typedef |
defined in global |
|
uvm_reg_cvr_rsrc_db |
class typedef |
defined in global |
|
uvm_reg_enable |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
uvm_reg_field |
class |
|
| uvm_reg_field |
class typedef |
defined in global |
|
uvm_reg_field_cb |
class typedef |
defined in global |
|
uvm_reg_field_cb_iter |
class typedef |
defined in global |
|
uvm_reg_field_valid |
constraint |
defined in class uvm_reg_field |
|
uvm_reg_fifo |
class |
|
|
uvm_reg_file |
class |
|
| uvm_reg_file |
class typedef |
defined in global |
|
uvm_reg_frontdoor |
class |
|
| uvm_reg_frontdoor |
class typedef |
defined in global |
|
uvm_reg_hw_reset_seq |
class |
|
|
uvm_reg_indirect_data |
class |
|
| uvm_reg_indirect_data |
class typedef |
defined in global |
|
uvm_reg_indirect_ftdr_seq |
class |
|
| uvm_reg_indirect_ftdr_seq |
class typedef |
defined in global |
|
uvm_reg_item |
class |
|
| uvm_reg_item |
class typedef |
defined in global |
|
uvm_reg_map |
class |
|
| uvm_reg_map |
class typedef |
defined in global |
|
uvm_reg_map_addr_range |
struct typedef |
defined in global |
|
uvm_reg_map_info |
class |
|
| uvm_reg_map_info |
class typedef |
defined in global |
|
uvm_reg_mem_access_seq |
class |
|
|
uvm_reg_mem_built_in_seq |
class |
|
|
uvm_reg_mem_hdl_paths_seq |
class |
|
|
uvm_reg_mem_shared_access_seq |
class |
|
|
uvm_reg_mem_tests_e |
enum typedef |
defined in global |
|
uvm_reg_predictor |
class |
|
|
uvm_reg_read_only_cbs |
class |
|
|
uvm_reg_sequence |
class |
|
| uvm_reg_sequence |
class typedef |
defined in global |
|
uvm_reg_shared_access_seq |
class |
|
|
uvm_reg_single_access_seq |
class |
|
|
uvm_reg_single_bit_bash_seq |
class |
|
|
uvm_reg_tlm_adapter |
class |
|
|
uvm_reg_write_only_cbs |
class |
|
|
uvm_report |
function |
function, defined in class uvm_report_object,
returns type void |
| uvm_report |
function |
function, defined in class uvm_report_catcher,
returns type void |
| uvm_report |
function |
function, defined in class uvm_sequence_item,
returns type void |
| uvm_report |
function |
function, defined in global,
returns type void |
|
uvm_report_catcher |
class |
|
| uvm_report_catcher |
class typedef |
defined in global |
|
uvm_report_cb |
class typedef |
defined in global |
|
uvm_report_cb_iter |
class typedef |
defined in global |
|
uvm_report_enabled |
function |
function, defined in class uvm_report_object,
returns type int |
| uvm_report_enabled |
function |
function, defined in class uvm_sequence_item,
returns type int |
| uvm_report_enabled |
function |
function, defined in global,
returns type bit |
|
uvm_report_error |
function |
function, defined in class uvm_report_object,
returns type void |
| uvm_report_error |
function |
function, defined in class uvm_report_catcher,
returns type void |
| uvm_report_error |
function |
function, defined in class uvm_sequence_item,
returns type void |
| uvm_report_error |
function |
function, defined in global,
returns type void |
|
uvm_report_fatal |
function |
function, defined in class uvm_report_object,
returns type void |
| uvm_report_fatal |
function |
function, defined in class uvm_report_catcher,
returns type void |
| uvm_report_fatal |
function |
function, defined in class uvm_sequence_item,
returns type void |
| uvm_report_fatal |
function |
function, defined in global,
returns type void |
|
uvm_report_global_server |
class |
|
|
uvm_report_handler |
class |
|
| uvm_report_handler |
class typedef |
defined in global |
|
uvm_report_info |
function |
function, defined in class uvm_report_object,
returns type void |
| uvm_report_info |
function |
function, defined in class uvm_report_catcher,
returns type void |
| uvm_report_info |
function |
function, defined in class uvm_sequence_item,
returns type void |
| uvm_report_info |
function |
function, defined in global,
returns type void |
|
uvm_report_object |
class |
|
| uvm_report_object |
class typedef |
defined in global |
|
uvm_report_phase |
class |
|
| uvm_report_phase |
class typedef |
defined in global |
|
uvm_report_server |
class |
|
| uvm_report_server |
class typedef |
defined in global |
|
uvm_report_warning |
function |
function, defined in class uvm_report_object,
returns type void |
| uvm_report_warning |
function |
function, defined in class uvm_report_catcher,
returns type void |
| uvm_report_warning |
function |
function, defined in class uvm_sequence_item,
returns type void |
| uvm_report_warning |
function |
function, defined in global,
returns type void |
|
UVM_RERUN |
enum value |
member of global items uvm_phase_transition |
|
uvm_reset_phase |
class |
|
| uvm_reset_phase |
class typedef |
defined in global |
|
uvm_resource |
class |
|
|
uvm_resource_base |
class |
|
| uvm_resource_base |
class typedef |
defined in global |
|
uvm_resource_db |
class |
|
|
uvm_resource_db_options |
class |
|
| uvm_resource_db_options |
class typedef |
defined in global |
|
uvm_resource_options |
class |
|
|
uvm_resource_pool |
class |
|
|
uvm_resource_types |
class |
|
|
uvm_resources |
attribute |
attribute type const uvm_resource_pool, defined in global |
|
uvm_revision |
attribute |
attribute type parameter, defined in global |
|
uvm_revision_string |
function |
function, defined in global,
returns type string |
|
uvm_root |
class |
|
| uvm_root |
class typedef |
defined in global |
|
uvm_root_report_handler |
class |
|
|
uvm_run_phase |
class |
|
| uvm_run_phase |
class typedef |
defined in global |
|
uvm_scope_stack |
class |
|
|
uvm_scoreboard |
class |
|
|
uvm_seed_map |
class |
|
|
uvm_seq_item_pull_export |
class |
|
|
uvm_seq_item_pull_imp |
class |
|
|
uvm_seq_item_pull_port |
class |
|
|
UVM_SEQ_LIB_ITEM |
enum value |
member of global items uvm_sequence_lib_mode |
|
UVM_SEQ_LIB_RAND |
enum value |
member of global items uvm_sequence_lib_mode |
|
UVM_SEQ_LIB_RANDC |
enum value |
member of global items uvm_sequence_lib_mode |
|
UVM_SEQ_LIB_USER |
enum value |
member of global items uvm_sequence_lib_mode |
|
uvm_sequence |
class |
|
|
uvm_sequence_base |
class |
|
| uvm_sequence_base |
class typedef |
defined in global |
|
uvm_sequence_item |
class |
|
| uvm_sequence_item |
class typedef |
defined in global |
|
uvm_sequence_lib_mode |
enum typedef |
defined in global |
|
uvm_sequence_library |
class |
|
|
uvm_sequence_library_cfg |
class |
|
| uvm_sequence_library_cfg |
class typedef |
defined in global |
|
uvm_sequence_request |
class |
|
| uvm_sequence_request |
class typedef |
defined in global |
|
uvm_sequence_state |
enum typedef |
defined in global |
|
uvm_sequencer |
class |
|
|
uvm_sequencer_analysis_fifo |
class |
|
|
uvm_sequencer_arb_mode |
enum typedef |
defined in global |
|
uvm_sequencer_base |
class |
|
| uvm_sequencer_base |
class typedef |
defined in global |
|
uvm_sequencer_param_base |
class |
|
|
UVM_SET |
attribute |
attribute type parameter, defined in global |
|
UVM_SETINT |
attribute |
attribute type parameter, defined in global |
|
UVM_SETOBJ |
attribute |
attribute type parameter, defined in global |
|
UVM_SETSTR |
attribute |
attribute type parameter, defined in global |
|
uvm_sev_override_array |
class typedef |
defined in global |
|
uvm_severity_type |
enum typedef |
defined in global |
|
UVM_SHALLOW |
enum value |
member of global items uvm_recursion_policy_enum |
|
uvm_shutdown_phase |
class |
|
| uvm_shutdown_phase |
class typedef |
defined in global |
|
uvm_simple_sequence |
class |
|
|
UVM_SKIPPED |
enum value |
member of global items uvm_phase_transition |
|
uvm_slave_export |
class |
|
|
uvm_slave_imp |
class |
|
|
uvm_slave_port |
class |
|
|
UVM_SMALL_STRING |
attribute |
attribute type parameter, defined in global |
|
uvm_snps_copyright |
attribute |
attribute type parameter, defined in global |
|
uvm_spell_chkr |
class |
|
|
uvm_split_string |
function |
function, defined in global,
returns type void |
|
uvm_sqr_if_base |
class |
|
|
UVM_START_FUNCS |
attribute |
attribute type parameter, defined in global |
|
uvm_start_of_simulation_phase |
class |
|
| uvm_start_of_simulation_phase |
class typedef |
defined in global |
|
uvm_start_uvm_declarations |
attribute |
attribute type bit, defined in global |
|
uvm_status_container |
class |
|
| uvm_status_container |
class typedef |
defined in global |
|
uvm_status_e |
enum typedef |
defined in global |
|
UVM_STDOUT |
attribute |
attribute type parameter, defined in global |
|
UVM_STOP |
enum value |
member of global items uvm_action_type |
|
UVM_STR_CRC_POLYNOMIAL |
attribute |
attribute type parameter, defined in global |
|
UVM_STREAMBITS |
attribute |
attribute type parameter, defined in global |
|
UVM_STRING |
enum value |
member of global items uvm_radix_enum |
|
uvm_string_rsrc |
class |
|
|
uvm_string_to_action |
function |
function, defined in global,
returns type function |
|
uvm_string_to_bits |
function |
function, defined in global,
returns type logic [1:0] |
|
uvm_string_to_severity |
function |
function, defined in global,
returns type bit |
|
uvm_subscriber |
class |
|
|
uvm_table_printer |
class |
|
| uvm_table_printer |
class typedef |
defined in global |
|
uvm_table_printer_knobs |
class typedef |
defined in global |
|
uvm_task_phase |
class |
|
| uvm_task_phase |
class typedef |
defined in global |
|
uvm_test |
class |
|
|
uvm_test_done |
attribute |
attribute type uvm_test_done_objection, defined in global |
|
uvm_test_done_objection |
class |
|
| uvm_test_done_objection |
class typedef |
defined in global |
|
UVM_TIME |
enum value |
member of global items uvm_radix_enum |
|
UVM_TLM_ACCEPTED |
enum value |
member of global items uvm_tlm_sync_e |
|
UVM_TLM_ADDRESS_ERROR_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
uvm_tlm_analysis_fifo |
class |
|
|
uvm_tlm_b_initiator_socket |
class |
|
|
uvm_tlm_b_initiator_socket_base |
class |
|
|
uvm_tlm_b_passthrough_initiator_socket |
class |
|
|
uvm_tlm_b_passthrough_initiator_socket_base |
class |
|
|
uvm_tlm_b_passthrough_target_socket |
class |
|
|
uvm_tlm_b_passthrough_target_socket_base |
class |
|
|
uvm_tlm_b_target_socket |
class |
|
|
uvm_tlm_b_target_socket_base |
class |
|
|
uvm_tlm_b_transport_export |
class |
|
|
uvm_tlm_b_transport_imp |
class |
|
|
uvm_tlm_b_transport_port |
class |
|
|
UVM_TLM_BURST_ERROR_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
UVM_TLM_BYTE_ENABLE_ERROR_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
uvm_tlm_command_e |
enum typedef |
defined in global |
|
UVM_TLM_COMMAND_ERROR_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
UVM_TLM_COMPLETED |
enum value |
member of global items uvm_tlm_sync_e |
|
uvm_tlm_event |
class |
|
| uvm_tlm_event |
class typedef |
defined in global |
|
uvm_tlm_extension |
class |
|
|
uvm_tlm_extension_base |
class |
|
| uvm_tlm_extension_base |
class typedef |
defined in global |
|
uvm_tlm_fifo |
class |
|
|
uvm_tlm_fifo_base |
class |
|
|
UVM_TLM_GENERIC_ERROR_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
uvm_tlm_generic_payload |
class |
|
|
uvm_tlm_gp |
class typedef |
defined in global |
|
uvm_tlm_if |
class |
|
|
uvm_tlm_if_base |
class |
|
|
UVM_TLM_IGNORE_COMMAND |
enum value |
member of global items uvm_tlm_command_e |
|
UVM_TLM_INCOMPLETE_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
uvm_tlm_nb_initiator_socket |
class |
|
|
uvm_tlm_nb_initiator_socket_base |
class |
|
|
uvm_tlm_nb_passthrough_initiator_socket |
class |
|
|
uvm_tlm_nb_passthrough_initiator_socket_base |
class |
|
|
uvm_tlm_nb_passthrough_target_socket |
class |
|
|
uvm_tlm_nb_passthrough_target_socket_base |
class |
|
|
uvm_tlm_nb_target_socket |
class |
|
|
uvm_tlm_nb_target_socket_base |
class |
|
|
uvm_tlm_nb_transport_bw_export |
class |
|
|
uvm_tlm_nb_transport_bw_imp |
class |
|
|
uvm_tlm_nb_transport_bw_port |
class |
|
|
uvm_tlm_nb_transport_fw_export |
class |
|
|
uvm_tlm_nb_transport_fw_imp |
class |
|
|
uvm_tlm_nb_transport_fw_port |
class |
|
|
UVM_TLM_OK_RESPONSE |
enum value |
member of global items uvm_tlm_response_status_e |
|
uvm_tlm_phase_e |
enum typedef |
defined in global |
|
UVM_TLM_READ_COMMAND |
enum value |
member of global items uvm_tlm_command_e |
|
uvm_tlm_req_rsp_channel |
class |
|
|
uvm_tlm_response_status_e |
enum typedef |
defined in global |
|
uvm_tlm_sync_e |
enum typedef |
defined in global |
|
uvm_tlm_time |
class |
|
|
uvm_tlm_transport_channel |
class |
|
|
UVM_TLM_UPDATED |
enum value |
member of global items uvm_tlm_sync_e |
|
UVM_TLM_WRITE_COMMAND |
enum value |
member of global items uvm_tlm_command_e |
|
uvm_top |
attribute |
attribute type const uvm_root, defined in global |
|
uvm_topdown_phase |
class |
|
|
uvm_transaction |
class |
|
|
uvm_transport_export |
class |
|
|
uvm_transport_imp |
class |
|
|
uvm_transport_port |
class |
|
|
uvm_tree_printer |
class |
|
| uvm_tree_printer |
class typedef |
defined in global |
|
uvm_tree_printer_knobs |
class typedef |
defined in global |
|
uvm_typed_callbacks |
class |
|
|
uvm_typeid |
class |
|
|
uvm_typeid_base |
class |
|
|
UVM_UNBOUNDED_CONNECTIONS |
attribute |
attribute type const int, defined in global |
|
UVM_UNFORMAT2 |
enum value |
member of global items uvm_radix_enum |
|
UVM_UNFORMAT4 |
enum value |
member of global items uvm_radix_enum |
|
UVM_UNPACK |
attribute |
attribute type parameter, defined in global |
|
UVM_UNSIGNED |
enum value |
member of global items uvm_radix_enum |
|
uvm_utils |
class |
|
|
uvm_vector_to_string |
function |
function, defined in global,
returns type string |
|
uvm_verbosity |
enum typedef |
defined in global |
|
uvm_virtual_sequencer |
class typedef |
defined in global |
|
uvm_void |
class |
|
|
uvm_vreg |
class |
|
| uvm_vreg |
class typedef |
defined in global |
|
uvm_vreg_cb |
class typedef |
defined in global |
|
uvm_vreg_cb_iter |
class typedef |
defined in global |
|
uvm_vreg_cbs |
class |
|
| uvm_vreg_cbs |
class typedef |
defined in global |
|
uvm_vreg_field |
class |
|
| uvm_vreg_field |
class typedef |
defined in global |
|
uvm_vreg_field_cb |
class typedef |
defined in global |
|
uvm_vreg_field_cb_iter |
class typedef |
defined in global |
|
uvm_vreg_field_cbs |
class |
|
| uvm_vreg_field_cbs |
class typedef |
defined in global |
|
uvm_wait_for_nba_region |
task |
defined in global |
|
uvm_wait_op |
enum typedef |
defined in global |
|
UVM_WARNING |
enum value |
member of global items uvm_severity_type |
|
UVM_WRITE |
enum value |
member of global items uvm_access_e |