How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| a | function arg |
arg type T, defined in function uvm_built_in_comp :: comp |
| a | function arg |
arg type input T, defined in function uvm_class_comp :: comp |
| abf_enable | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| abf_flush_count | attribute |
attribute type int, defined in class svt_chi_hn_status |
| abort | function |
function, defined in class svt_fsm, returns type void |
| abort | function |
function, defined in class svt_fsm_callback, returns type void |
| ABORTED | enum value |
member of svt_sequence_item :: status_enum |
| aborted | function arg |
arg type bit, defined in function svt_chi_transaction :: set_end_of_transaction |
| aborted | function arg |
arg type bit, defined in function svt_chi_snoop_transaction :: set_end_of_transaction |
| abstract | attribute |
attribute type bit, defined in class uvm_comparer |
| abstract | attribute |
attribute type bit, defined in class uvm_packer |
| abstract | attribute |
attribute type bit, defined in class uvm_recorder |
| abstract | function arg |
arg type bit, defined in function svt_comparer :: new |
| abstractions | attribute |
attribute type string, defined in class uvm_reg_mem_hdl_paths_seq |
| ACCEPT | enum value |
member of svt_sequence_item :: status_enum |
| accept_time | function arg |
arg type time, defined in function uvm_component :: accept_tr |
| accept_time | function arg |
arg type time, defined in function uvm_transaction :: accept_tr |
| accept_tr | function |
function, defined in class uvm_component, returns type void |
| accept_tr | function |
function, defined in class uvm_transaction, returns type void |
| access | attribute |
attribute type access_t, defined in class uvm_resource_base |
| access | function arg |
arg type string, defined in function uvm_reg_field :: configure |
| access | function arg |
arg type string, defined in function uvm_mem :: new |
| access_record | function arg |
arg type inout access_t, defined in function uvm_resource_base :: init_access_record |
| access_t | struct typedef |
defined in class uvm_resource_types |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource_base :: record_read_access |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource_base :: record_write_access |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource :: read |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource :: write |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: m_show_msg |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: set |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: set_anonymous |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource_db :: set_override |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource_db :: set_override_type |
| accessor | function arg |
arg type uvm_object, defined in function uvm_resource_db :: set_override_name |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: read_by_name |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: read_by_type |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: write_by_name |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_resource_db :: write_by_type |
| accessor | function arg |
arg type uvm_object, defined in function uvm_reg :: include_coverage |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_reg_block :: find_blocks |
| accessor | function arg |
arg type input uvm_object, defined in function uvm_reg_block :: find_block |
| action | function arg |
arg type uvm_action, defined in function uvm_component :: set_report_severity_action_hier |
| action | function arg |
arg type uvm_action, defined in function uvm_component :: set_report_id_action_hier |
| action | function arg |
arg type uvm_action, defined in function uvm_component :: set_report_severity_id_action_hier |
| action | function arg |
arg type uvm_action, defined in function uvm_report_object :: set_report_severity_action |
| action | function arg |
arg type uvm_action, defined in function uvm_report_object :: set_report_id_action |
| action | function arg |
arg type uvm_action, defined in function uvm_report_object :: set_report_severity_id_action |
| action | function arg |
arg type string, defined in function uvm_objection :: m_report |
| action | function arg |
arg type input string, defined in function uvm_resource_db :: m_show_msg |
| action | function arg |
arg type uvm_action, defined in function uvm_report_handler :: format_action |
| action | function arg |
arg type input uvm_action, defined in function uvm_report_handler :: set_severity_action |
| action | function arg |
arg type input uvm_action, defined in function uvm_report_handler :: set_id_action |
| action | function arg |
arg type uvm_action, defined in function uvm_report_handler :: set_severity_id_action |
| action | function arg |
arg type uvm_action, defined in function uvm_report_server :: process_report |
| action | function arg |
arg type uvm_action, defined in function uvm_report_catcher :: set_action |
| action | function arg |
arg type ref uvm_action, defined in function uvm_report_catcher :: process_all_report_catchers |
| action | function arg |
arg type output uvm_action, defined in function glboal :: uvm_string_to_action |
| action_e | enum typedef |
defined in class uvm_report_catcher |
| action_enum | enum typedef |
defined in class svt_chi_system_transaction |
| action_str | function arg |
arg type string, defined in function glboal :: uvm_string_to_action |
| ACTIVATE | enum value |
member of svt_chi_link_service :: service_type_enum |
| ACTIVE | enum value |
member of svt_sequence_item :: status_enum |
| active_duration | attribute |
attribute type real, defined in class svt_amba_perf_calc_base |
| active_participating_node_indices | function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_active_participating_node_indices |
| active_participating_q | attribute |
attribute type int, defined in class svt_chi_system_barrier_sequence |
| active_rn_xacts | attribute |
attribute type svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| active_seq_queue | attribute |
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_coherent_virtual_sequence |
| active_xacts | attribute |
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| active_xacts | attribute |
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_system_coherent_virtual_sequence |
| active_xml_start_time | attribute |
attribute type realtime, defined in class svt_sequence_item |
| actual | function arg |
arg type input uvm_reg_data_t, defined in function uvm_reg :: do_check |
| adapter | function arg |
arg type uvm_reg_adapter, defined in function uvm_reg_map :: set_sequencer |
| adapter | task arg |
arg type uvm_reg_adapter, defined in task uvm_reg_map :: do_bus_write |
| adapter | task arg |
arg type uvm_reg_adapter, defined in task uvm_reg_map :: do_bus_read |
| adapter | attribute |
attribute type uvm_reg_adapter, defined in class uvm_reg_sequence |
| adapter | attribute |
attribute type uvm_reg_adapter, defined in class uvm_reg_predictor |
| add | function |
function, defined in class uvm_pool, returns type void |
| add | function |
function, defined in class uvm_phase, returns type void |
| add | function |
function, defined in class uvm_callbacks, returns type void |
| add | function |
function, defined in class uvm_heartbeat, returns type void |
| add | function |
function, defined in class uvm_reg_read_only_cbs, returns type void |
| add | function |
function, defined in class uvm_reg_write_only_cbs, returns type void |
| add | function |
function, defined in class svt_event_pool, returns type void |
| add_block | function |
function, defined in class uvm_reg_block, returns type void |
| add_by_name | function |
function, defined in class uvm_callbacks, returns type void |
| add_callback | function |
function, defined in class uvm_event, returns type void |
| add_compound_pattern_prop | function |
function, defined in class svt_sequence_item_base, returns type void |
| add_cov | function |
function, defined in class svt_err_check_stats, returns type void |
| add_coverage | function |
function, defined in class uvm_reg, returns type void |
| add_coverage | function |
function, defined in class uvm_reg_block, returns type void |
| add_coverage | function |
function, defined in class uvm_mem, returns type void |
| add_ev | function |
function, defined in class svt_event_pool, returns type void |
| add_exception | function |
function, defined in class svt_exception_list, returns type void |
| add_exceptions | function |
function, defined in class svt_exception_list, returns type void |
| add_field | function |
function, defined in class uvm_reg, returns type void |
| add_field | function |
function, defined in class uvm_vreg, returns type void |
| add_field | function |
function, defined in class uvm_reg_indirect_data, returns type void |
| add_force_cb_save_to_fsdb_type | function |
function, defined in class svt_debug_opts, returns type void |
| add_hdl_path | function |
function, defined in class uvm_reg, returns type void |
| add_hdl_path | function |
function, defined in class uvm_reg_file, returns type void |
| add_hdl_path | function |
function, defined in class uvm_reg_block, returns type void |
| add_hdl_path | function |
function, defined in class uvm_mem, returns type void |
| add_hdl_path_slice | function |
function, defined in class uvm_reg, returns type void |
| add_hdl_path_slice | function |
function, defined in class uvm_mem, returns type void |
| add_if_paths | function |
function, defined in class svt_vip_writer, returns type void |
| add_map | function |
function, defined in class uvm_reg, returns type void |
| add_map | function |
function, defined in class uvm_reg_block, returns type void |
| add_map | function |
function, defined in class uvm_mem, returns type void |
| add_map | function |
function, defined in class uvm_reg_indirect_data, returns type void |
| add_mem | function |
function, defined in class uvm_reg_block, returns type void |
| add_mem | function |
function, defined in class uvm_reg_map, returns type void |
| add_message_id_to_demote | function |
function, defined in class svt_err_catcher, returns type void |
| add_message_text_to_demote | function |
function, defined in class svt_err_catcher, returns type void |
| add_object_child | function |
function, defined in class svt_vip_writer, returns type bit |
| add_object_children | function |
function, defined in class svt_vip_writer, returns type bit |
| add_object_successor | function |
function, defined in class svt_vip_writer, returns type bit |
| add_object_successors | function |
function, defined in class svt_vip_writer, returns type bit |
| add_object_tag | function |
function, defined in class svt_vip_writer, returns type bit |
| add_pa_reference | function |
function, defined in class svt_vip_writer, returns type bit |
| add_parent_map | function |
function, defined in class uvm_reg_map, returns type void |
| add_path | function |
function, defined in class uvm_hdl_path_concat, returns type void |
| add_pattern_prop | function |
function, defined in class svt_sequence_item_base, returns type void |
| add_reg | function |
function, defined in class uvm_reg_block, returns type void |
| add_reg | function |
function, defined in class uvm_reg_map, returns type void |
| add_scope_attribute | function |
function, defined in class svt_vip_writer, returns type bit |
| add_sequence | function |
function, defined in class uvm_sequencer_base, returns type void |
| add_sequence | function |
function, defined in class uvm_sequence_library, returns type void |
| add_sequences | function |
function, defined in class uvm_sequence_library, returns type void |
| add_simple | function arg |
arg type bit, defined in function uvm_sequencer_base :: m_add_builtin_seqs |
| add_slice | function |
function, defined in class uvm_hdl_path_concat, returns type void |
| add_stream_attribute | function |
function, defined in class svt_vip_writer, returns type bit |
| add_submap | function |
function, defined in class uvm_reg_map, returns type void |
| add_synchronization_data | task |
defined in class svt_traffic_arbiter |
| add_to_start_addr | attribute |
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_coherent_virtual_sequence |
| add_type | function arg |
arg type string, defined in function svt_debug_opts :: add_force_cb_save_to_fsdb_type |
| add_typewide_sequence | function |
function, defined in class uvm_sequence_library, returns type void |
| add_typewide_sequences | function |
function, defined in class uvm_sequence_library, returns type void |
| add_uvm_phases | function |
function, defined in class uvm_domain, returns type void |
| add_vreg | function |
function, defined in class uvm_reg_block, returns type void |
| addr | function arg |
arg type bit [63:0] , defined in function uvm_tlm_generic_payload :: set_address |
| addr | function arg |
arg type ref uvm_reg_addr_t, defined in function uvm_reg :: get_addresses |
| addr | function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_block :: XsampleX |
| addr | function arg |
arg type ref uvm_reg_addr_t, defined in function uvm_mem :: get_addresses |
| addr | function arg |
arg type uvm_reg_addr_t, defined in function uvm_mem :: XsampleX |
| addr | function arg |
arg type ref uvm_reg_addr_t, defined in function uvm_reg_map :: get_physical_addresses |
| addr | attribute |
attribute type uvm_reg_addr_t, defined in class uvm_reg_map_info |
| addr | attribute |
attribute type bit, defined in class uvm_predict_s |
| addr | function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem_word :: new |
| addr | function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: read |
| addr | function arg |
arg type bit [(SVT_MEM_MAX_ADDR_WIDTH-1):0], defined in function svt_mem :: write |
| addr | function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: is_in_bounds |
| addr | function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: is_locked |
| addr | function arg |
arg type ref bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: get_aligned_addr |
| addr | function arg |
arg type longint unsigned, defined in function svt_amba_pv_extension :: set_dvm_additional_address |
| addr | function arg |
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_cache_line :: new |
| addr | function arg |
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_cache_line :: write |
| addr | function arg |
arg type output addr_t, defined in function svt_axi_cache :: read_by_index |
| addr | function arg |
arg type output addr_t, defined in function svt_axi_cache :: read_line_by_index |
| addr | function arg |
arg type input addr_t, defined in function svt_axi_cache :: read_by_addr |
| addr | function arg |
arg type input addr_t, defined in function svt_axi_cache :: read_line_by_addr |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: write |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: backdoor_write |
| addr | function arg |
arg type input addr_t, defined in function svt_axi_cache :: get_index_for_addr |
| addr | function arg |
arg type output addr_t, defined in function svt_axi_cache :: get_addr_at_index |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: invalidate_addr |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: reserve_index |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_reserved_index |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: delete_reservation_for_addr |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: update_status |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: update_tag_status |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_status |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: update_age |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_age |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_prot_type |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_prot_type |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_cache_type |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_cache_type |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_set_index |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_set_index |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_way_number |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_allocated_associative_cache_way_number |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_way_number |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_tag |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_tag |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_tag |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_tag |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_poison |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_poison |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_pbha |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_pbha |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_cah |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_cah |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_mecid |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_mecid |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_data_check |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: set_data_check_passed |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_data_check |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_data_check_passed |
| addr | function arg |
arg type addr_t, defined in function svt_axi_cache :: get_cache_line |
| addr | function arg |
arg type input addr_t, defined in function svt_axi_cache :: is_partial_dirty_line |
| addr | function arg |
arg type input addr_t, defined in function svt_axi_cache :: is_line_empty |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_snoop_filter_enable |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_snoop_filter_based_snooping_enable |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_l3_cache_enabled |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_invisible_cache_mode_enabled |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_hn_idx |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_hn_node_id_for_addr |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_sn_node_id |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_slave_node_id_for_addr |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: translate_address |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_mapped_to_mn_addr_ranges |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_slave_route_port |
| addr | function arg |
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_system_configuration :: get_three_sn_f_striping_based_sn_f_idx |
| addr | function arg |
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_system_configuration :: get_six_sn_f_striping_based_sn_f_idx |
| addr | attribute |
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_common_transaction |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_hn_idx |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_hn_node_id_for_addr |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_sn_node_id |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_mn_addr_range_index |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: set_initial_cacheline_state |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: is_initial_cacheline_in_valid_state |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: is_initial_cacheline_in_invalid_state |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_rn_coherent_transaction_base_virtual_sequence :: get_cache_status |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_makeunique_xact |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_cleanunqiue_xact |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeclean_xact |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeback_xact |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_readnotshareddirty_xact |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: invalidate_all_cachelines |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_invalidate_xact |
| addr | attribute |
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class chi_rn_directed_noncoherent_xact_sequence |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_evict |
| addr | task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_writeback |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_base_virtual_sequence :: update_rn_cache_status |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_base_virtual_sequence :: get_rn_cache_status |
| addr | function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence :: get_cache_status |
| addr | function arg |
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_interconnect_env :: write_to_icn_mem |
| addr | function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_interconnect_env :: read_from_icn_mem |
| addr_gen_type | attribute |
attribute type rand svt_traffic_profile_transaction :: addr_val_type_enum, defined in class svt_traffic_profile_transaction |
| addr_mode | attribute |
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| addr_mode | attribute |
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| addr_mode | attribute |
attribute type rand svt_chi_system_coherent_virtual_sequence :: addr_mode_type, defined in class svt_chi_system_coherent_virtual_sequence |
| addr_mode_status | attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| addr_mode_status | attribute |
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence |
| addr_ranges | function arg |
arg type ref svt_chi_hn_addr_range, defined in function svt_chi_address_configuration :: set_addr_range |
| addr_reg | function arg |
arg type uvm_reg, defined in function uvm_reg_indirect_ftdr_seq :: new |
| addr_region | attribute |
attribute type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in class svt_mem |
| addr_region | function arg |
arg type int, defined in function svt_mem :: new |
| addr_region | function arg |
arg type int, defined in function svt_chi_memory :: new |
| addr_skip | function arg |
arg type output int, defined in function uvm_reg_map :: Xget_bus_infoX |
| addr_twodim_stride | attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
| addr_twodim_yrange | attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
| addr_val_type_enum | enum typedef |
defined in class svt_traffic_profile_transaction |
| addr_width | attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
| addr_xrange | attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
| ADDRESS | enum value |
member of uvm_mem :: init_e |
| ADDRESS | enum value |
member of svt_mem :: meminit_enum |
| address_based_flush_policy | attribute |
attribute type svt_chi_hn_status :: address_based_flush_policy_enum, defined in class svt_chi_hn_status |
| address_based_flush_policy_enum | enum typedef |
defined in class svt_chi_hn_status |
| address_based_flushing_started | attribute |
attribute type bit, defined in class svt_chi_hn_status |
| addressable_space_per_sn_f | function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_three_sn_f_striping_addressable_space |
| addressable_space_per_sn_f | function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_six_sn_f_striping_addressable_space |
| addressable_space_units | function arg |
arg type output string, defined in function svt_chi_system_configuration :: get_three_sn_f_striping_addressable_space |
| addressable_space_units | function arg |
arg type output string, defined in function svt_chi_system_configuration :: get_six_sn_f_striping_addressable_space |
| addressing_mode_enum | enum typedef |
defined in class svt_chi_rn_coherent_transaction_base_sequence |
| addrspace | function arg |
arg type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in function svt_mem_word :: new |
| adjust_name | function |
function, defined in class uvm_printer, returns type string |
| adjust_xact_reference | function |
function, defined in class svt_chi_common_transaction_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_base_transaction_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_snoop_transaction_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_transaction_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_flit_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_rn_transaction_exception_list, returns type void |
| adjust_xact_reference | function |
function, defined in class svt_chi_sn_transaction_exception_list, returns type void |
| advanced_byte_enable_coverage_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| advanced_transaction_coverage_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| advertised_curr_l_credit_high_range_start_value | attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
| advertised_curr_l_credit_medium_range_start_value | attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
| after_export | attribute |
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator |
| after_export | attribute |
attribute type uvm_analysis_export, defined in class uvm_algorithmic_comparator |
| after_phase | function arg |
arg type uvm_phase, defined in function uvm_phase :: add |
| age | function arg |
arg type longint, defined in function svt_axi_cache_line :: set_age |
| age | function arg |
arg type output longint, defined in function svt_axi_cache :: read_by_index |
| age | function arg |
arg type output longint, defined in function svt_axi_cache :: read_line_by_index |
| age | function arg |
arg type output longint, defined in function svt_axi_cache :: read_by_addr |
| age | function arg |
arg type output longint, defined in function svt_axi_cache :: read_line_by_addr |
| age | function arg |
arg type longint, defined in function svt_axi_cache :: write |
| age | function arg |
arg type longint, defined in function svt_axi_cache :: backdoor_write |
| age | function arg |
arg type longint, defined in function svt_axi_cache :: update_age |
| age | function arg |
arg type output longint, defined in function svt_axi_cache :: get_age |
| aligned_addr_to_cache_line_size | attribute |
attribute type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in class svt_chi_system_transaction |
| all_dropped | task |
defined in class uvm_component |
| all_dropped | task |
defined in class uvm_objection |
| all_dropped | task |
defined in class uvm_test_done_objection |
| all_dropped | task |
defined in class uvm_objection_callback |
| all_dropped | task |
defined in class uvm_callbacks_objection |
| all_dropped | attribute |
attribute type event, defined in class uvm_objection_events |
| ALL_MISMATCH | macro |
|
| ALL_SYNC_NON_SYNC_WITH_SAME_LPID | enum value |
member of svt_chi_rn_transaction_base_sequence :: dvm_lpid_pattern_enum |
| ALL_TXN_ID_IN_USE | enum value |
member of svt_chi_snoop_transaction :: data_pull_value_override_reason_enum |
| all_types | function arg |
arg type int, defined in function uvm_factory :: print |
| alloc | function arg |
arg type uvm_mem_mam_policy, defined in function uvm_mem_mam :: request_region |
| alloc | function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_read_allocate |
| alloc | function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_write_allocate |
| alloc | function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_read_other_allocate |
| alloc | function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_write_other_allocate |
| alloc_mode_e | enum typedef |
defined in class uvm_mem_mam |
| allocate | function |
function, defined in class uvm_vreg, returns type uvm_mem_region |
| allocate | function |
function, defined in class svt_sequence_item_base_iter, returns type svt_sequence_item_base_iter |
| allocate | function |
function, defined in class svt_sequence_item_base_queue_iter, returns type svt_sequence_item_base_iter |
| allocate | function |
function, defined in class svt_sequence_item_iter, returns type svt_sequence_item_base_iter |
| allocate_auto_op_pattern | function |
function, defined in class svt_sequence_item_base, returns type svt_pattern |
| allocate_check_enable_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_cov_enable_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_data_converter | function |
function, defined in class svt_sequence_item, returns type svt_data_converter |
| allocate_debug_feature_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_dynamic_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_in_cache | attribute |
attribute type rand bit, defined in class svt_chi_transaction |
| allocate_in_cache_data_for_tag_fetch_readunique | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| allocate_in_cache_tags_for_cleanunique_makereadunique | constraint |
defined in class svt_chi_rn_transaction |
| allocate_pa_feature_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_sequence_item_base, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_system_domain_item, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_common_transaction_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_base_transaction_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_snoop_transaction_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_transaction_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_flit_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_rn_transaction_exception, returns type svt_pattern |
| allocate_pattern | function |
function, defined in class svt_chi_sn_transaction_exception, returns type svt_pattern |
| allocate_pattern_filter | function arg |
arg type int, defined in function svt_sequence_item_base :: allocate_auto_op_pattern |
| allocate_static_pattern | function |
function, defined in class svt_configuration, returns type svt_pattern |
| allocate_xml_pattern | function |
function, defined in class svt_sequence_item_base, returns type svt_pattern |
| allocate_xml_pattern | function |
function, defined in class svt_traffic_profile_transaction, returns type svt_pattern |
| allow_act_in_tx_stop_rx_deact | attribute |
attribute type rand bit, defined in class svt_chi_link_service |
| allow_deact_in_tx_run_rx_act | attribute |
attribute type rand bit, defined in class svt_chi_link_service |
| allow_dmt_from_rn_when_hn_is_absent | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| allow_dvm_sync_without_prior_non_sync | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| allow_dwt_from_rn_when_hn_is_absent | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| allow_early_comp_for_nonsync_dvmop | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| allow_exclusive_store_without_exclusive_load | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| allow_link_active_signal_banned_output_race_transitions | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| allow_multiple_dvm_sync_oustanding_xacts | attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
| allow_non_coherent_exclusive_transactions_with_mismatched_attr_targetted_to_the_same_cache_line | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| allow_protocol_flits_transmission_in_tx_lasm_deactivate_state | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| allow_readnosnpsep_from_rn_when_hn_is_absent | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| allow_restart | function arg |
arg type bit, defined in function svt_timer :: start_timer |
| allow_restart | function arg |
arg type bit, defined in function svt_triggered_timer :: start_timer |
| allow_same_node_id_within_port_interleaving_group | attribute |
attribute type bit, defined in class svt_chi_system_configuration |
| allow_writedatacancel_from_rn_for_writenosnpfull_xact_when_hn_is_absent | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| ALWAYS_RESPOND_WITH_COMP | enum value |
member of svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum |
| ALWAYS_RESPOND_WITH_COMPDBIDRESP | enum value |
member of svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum |
| amba_chi_transaction_fifo | attribute |
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_system_checker_callback |
| amba_fifo_cfg | task arg |
arg type svt_amba_fifo_rate_control_configuration, defined in task svt_amba_fifo_rate_control :: start_fifo_update_every_clock |
| amba_fifo_curr_fill_level | attribute |
attribute type real, defined in class svt_amba_fifo_rate_control |
| amba_fifo_rate_control_valid_ranges | constraint |
defined in class svt_amba_fifo_rate_control_configuration |
| AMBA_PV_NON_SECURE_ONLY | enum value |
member of svt_amba_pv :: dvm_security_t |
| AMBA_PV_SECURE_AND_NON_SECURE | enum value |
member of svt_amba_pv :: dvm_security_t |
| AMBA_PV_SECURE_ONLY | enum value |
member of svt_amba_pv :: dvm_security_t |
| amba_total_expected_fill_level | attribute |
attribute type real, defined in class svt_amba_fifo_rate_control |
| analysis_export | attribute |
attribute type uvm_analysis_imp, defined in class uvm_tlm_analysis_fifo |
| analysis_export | attribute |
attribute type uvm_analysis_imp, defined in class uvm_subscriber |
| analysis_export | attribute |
attribute type uvm_analysis_imp, defined in class uvm_sequencer_analysis_fifo |
| analysis_port | attribute |
attribute type uvm_analysis_port, defined in class svt_dispatch |
| analysis_write | function |
function, defined in class uvm_sequencer_base, returns type void |
| analysis_write | function |
function, defined in class uvm_sequencer_param_base, returns type void |
| ap | attribute |
attribute type uvm_analysis_imp, defined in class svt_chi_sn_transaction_sequencer |
| append | function arg |
arg type bit, defined in function uvm_event :: add_callback |
| apply_byte_to_crc | function |
function, defined in class svt_data_converter, returns type void |
| apply_byte_to_crc | function |
function, defined in class svt_sequence_item, returns type void |
| apply_config_settings | function |
function, defined in class uvm_component, returns type void |
| apply_filter | function |
function, defined in class svt_uvm_cmd_assistant_report_catcher, returns type bit |
| arb_completed | attribute |
attribute type protected bit, defined in class uvm_sequencer_base |
| arb_sequence_q | attribute |
attribute type protected uvm_sequence_request, defined in class uvm_sequencer_base |
| are_associate_snoops_present | attribute |
attribute type bit, defined in class svt_chi_system_transaction |
| are_associate_stashsnoops_present | attribute |
attribute type bit, defined in class svt_chi_system_transaction |
| arg | function arg |
arg type string, defined in function uvm_scope_stack :: set_arg |
| arg | function arg |
arg type string, defined in function uvm_scope_stack :: set_arg_element |
| arg | function arg |
arg type string, defined in function uvm_scope_stack :: unset_arg |
| arg | function arg |
arg type input T, defined in function svt_downstream_imp :: write |
| arg | function arg |
arg type string, defined in function glboal :: uvm_get_array_index_int |
| arg | function arg |
arg type string, defined in function glboal :: uvm_get_array_index_string |
| arg | function arg |
arg type string, defined in function glboal :: uvm_is_array |
| arg | function arg |
arg type string, defined in function glboal :: uvm_has_wildcard |
| args | function arg |
arg type output string, defined in function uvm_cmdline_processor :: get_args |
| args | function arg |
arg type output string, defined in function uvm_cmdline_processor :: get_plusargs |
| args | function arg |
arg type output string, defined in function uvm_cmdline_processor :: get_uvm_args |
| args | function arg |
arg type ref string, defined in function uvm_cmdline_processor :: get_arg_matches |
| array_in | function arg |
arg type input byte unsigned, defined in function svt_data_converter :: scramble |
| array_in | function arg |
arg type input byte unsigned, defined in function svt_data_converter :: unscramble |
| array_in | function arg |
arg type input byte unsigned, defined in function svt_sequence_item :: scramble |
| array_in | function arg |
arg type input byte unsigned, defined in function svt_sequence_item :: unscramble |
| array_ix | function arg |
arg type input int, defined in function svt_sequence_item_base :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_sequence_item_base :: display_get_prop_val_outcome |
| array_ix | function arg |
arg type int, defined in function svt_sequence_item_base :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_sequence_item_base :: set_prop_object |
| array_ix | function arg |
arg type int, defined in function svt_sequence_item_base :: display_set_prop_val_outcome |
| array_ix | function arg |
arg type output int, defined in function svt_sequence_item_base :: strip_array_element_suffix |
| array_ix | function arg |
arg type input int, defined in function svt_configuration :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_configuration :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_exception :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_exception_list :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_err_check_stats :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_err_check_stats :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_err_check :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_err_check :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_sequence_item :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_sequence_item :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_8b10b_data :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_8b10b_data :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_mem :: get_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_fifo_rate_control_configuration :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_fifo_rate_control_configuration :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_fifo_rate_control :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_fifo_rate_control :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_traffic_profile_transaction :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_traffic_profile_transaction :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_amba_addr_mapper :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_amba_addr_mapper :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_amba_fifo_rate_control_configuration :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_amba_fifo_rate_control_configuration :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_axi_cache :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_axi_cache :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_hn_addr_range :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_hn_addr_range :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_system_domain_item :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_system_domain_item :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_address_configuration :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_address_configuration :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_protocol_service :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_protocol_service :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_link_service :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_link_service :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_common_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_common_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_common_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_base_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_base_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_base_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_snoop_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_snoop_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_snoop_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_flit_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_flit_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_flit_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_rn_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_rn_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_rn_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_sn_transaction_exception :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_sn_transaction_exception :: set_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_sn_transaction_exception_list :: set_prop_val |
| array_ix | function arg |
arg type input int, defined in function svt_chi_system_monitor_system_data :: get_prop_val |
| array_ix | function arg |
arg type int, defined in function svt_chi_system_monitor_system_data :: set_prop_val |
| array_out | function arg |
arg type output byte unsigned, defined in function svt_data_converter :: scramble |
| array_out | function arg |
arg type output byte unsigned, defined in function svt_data_converter :: unscramble |
| array_out | function arg |
arg type output byte unsigned, defined in function svt_sequence_item :: scramble |
| array_out | function arg |
arg type output byte unsigned, defined in function svt_sequence_item :: unscramble |
| array_warning_done | attribute |
attribute type bit, defined in class uvm_status_container |
| arraytype | function arg |
arg type string, defined in function uvm_printer :: print_array_header |
| ASCENDING_DATAID | enum value |
member of svt_chi_common_transaction :: tx_ccf_wrap_order_false_dat_flit_order_enum |
| ascii_real | function arg |
arg type string, defined in function svt_sequence_item_base :: safe_atoreal |
| asid | function arg |
arg type int unsigned, defined in function svt_amba_pv_extension :: set_dvm_asid |
| assign_xact_weights | function |
function, defined in class svt_chi_rn_coherent_transaction_base_sequence, returns type void |
| asso_eligible_sys_xacts | attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data |
| associate_compdata_flit_with_fwd_type_snp_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_compstashdone_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_read_dat_flit_with_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_readreceipt_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_respsepdata_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_rsp_flit_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_snprspdata_flit_with_snp_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_stashdone_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_tagmatch_to_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associate_write_dat_flit_with_xact_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associated_axi_slave_xacts | attribute |
attribute type svt_axi_transaction, defined in class svt_chi_system_transaction |
| associated_barrier_xact | attribute |
attribute type svt_chi_transaction, defined in class svt_chi_transaction |
| associated_barrier_xact | attribute |
attribute type svt_chi_transaction, defined in class chi_rn_directed_noncoherent_xact_sequence |
| associated_dvm_operation_xacts | attribute |
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction |
| associated_nonstash_snoop_xact_type | attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction |
| associated_nonstashsnoop_xacts | attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction |
| associated_respsepdata_response_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| associated_slave_byte_count | attribute |
attribute type int, defined in class svt_chi_system_transaction |
| associated_sn_xacts | attribute |
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction |
| associated_snoop_trace_tag_validity_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| associated_snoop_xacts | attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction |
| associated_snoop_xacts | attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data |
| associated_snoop_xacts_type | attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction |
| associated_stashsnoop_xacts | attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction |
| associated_stashsnoop_xacts_type | attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction |
| associated_tagmatch_response_legal_resp_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| ASSOCIATION_BUT_NO_CHECKS | enum value |
member of svt_chi_system_transaction :: action_enum |
| async_input_banned_output_race_link_active_states_timeout | attribute |
attribute type int, defined in class svt_chi_node_configuration |
| atleast_one_atomics_enabled_chi_rn_f | attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| atleast_one_atomics_enabled_chi_rn_f | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| atleast_one_atomics_enabled_chi_rn_i_rn_d | attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| atleast_one_atomics_enabled_chi_rn_i_rn_d | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| atleast_one_chi_e_rn_f | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| atleast_one_chi_e_rn_i_rn_d | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| atleast_one_exclusive_enabled_chi_rn | attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| atleast_one_exclusive_enabled_chi_rn_f | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| atleast_one_stash_enabled_chi_rn | attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| atleast_one_stash_enabled_chi_rn | attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| ATOMIC | enum value |
member of svt_chi_transaction :: xact_category_enum |
| atomic_associated_response_data_packets_legal_cache_state_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| atomic_associated_response_data_packets_legal_resperr_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| atomic_comp_resp_err | attribute |
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction |
| atomic_compare_data | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_compare_datacheck | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_compare_poison | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_compare_tag | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_compare_valid_tag_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
| atomic_compdata_order_policy | attribute |
attribute type rand svt_chi_sn_transaction :: atomic_compdata_order_policy_enum, defined in class svt_chi_sn_transaction |
| atomic_compdata_order_policy_enum | enum typedef |
defined in class svt_chi_sn_transaction |
| atomic_dbid_resp_err | attribute |
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction |
| atomic_load_operation_type_c | constraint |
defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence |
| atomic_operation_type_c | constraint |
defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| atomic_operation_type_c | constraint |
defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence |
| atomic_read_data_tag_op | attribute |
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction |
| atomic_read_datacheck | attribute |
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_read_poison | attribute |
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_returned_initial_data | attribute |
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_returned_initial_data_integrity_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| atomic_returned_initial_datacheck | attribute |
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_returned_initial_poison | attribute |
attribute type bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_returned_initial_tag | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_returned_initial_tag_integrity_check | attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
| atomic_store_load_datacheck | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_store_load_poison | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_store_load_txn_data | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_store_load_txn_tag | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_store_operation_type_c | constraint |
defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence |
| atomic_swap_data | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_swap_datacheck | attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_swap_poison | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_swap_tag | attribute |
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_transactions_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| atomic_write_data_tag_op | attribute |
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction |
| atomic_write_datacheck | attribute |
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_write_poison | attribute |
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction |
| atomic_xact_propagation_to_slave_policy | function arg |
arg type svt_chi_hn_configuration :: atomic_xact_propagation_to_slave_policy_enum, defined in function svt_chi_system_configuration :: set_hn_atomic_xact_propagation_to_slave_policy |
| atomic_xact_type | attribute |
attribute type rand atomic_transaction_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| atomic_xact_type_c1 | constraint |
defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| ATOMICCOMPARE | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomiccompare_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomiccompare_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_ADD | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_add_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_add_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_CLR | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_clr_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_clr_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_EOR | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_eor_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_eor_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_SET | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_set_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_set_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_SMAX | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_smax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_smax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_SMIN | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_smin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_smin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_UMAX | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_umax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_umax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICLOAD_UMIN | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicload_umin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicload_umin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_ADD | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_add_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_add_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_CLR | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_clr_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_clr_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_EOR | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_eor_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_eor_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_SET | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_set_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_set_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_SMAX | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_smax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_smax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_SMIN | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_smin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_smin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_UMAX | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_umax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_umax_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSTORE_UMIN | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicstore_umin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicstore_umin_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| ATOMICSWAP | enum value |
member of svt_chi_common_transaction :: xact_type_enum |
| atomicswap_wt | attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| atomicswap_wt | attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
| attr_name | function arg |
arg type string, defined in function svt_vip_writer :: object_create |
| attr_name | function arg |
arg type string, defined in function svt_vip_writer :: add_scope_attribute |
| attr_name | function arg |
arg type string, defined in function svt_vip_writer :: add_stream_attribute |
| attr_val | function arg |
arg type string, defined in function svt_vip_writer :: object_create |
| attr_val_type_enum | enum typedef |
defined in class svt_traffic_profile_transaction |
| attr_value | function arg |
arg type string, defined in function svt_vip_writer :: add_scope_attribute |
| attr_value | function arg |
arg type string, defined in function svt_vip_writer :: add_stream_attribute |
| audit | function arg |
arg type bit, defined in function uvm_component :: print_config |
| audit | function arg |
arg type bit, defined in function uvm_resource_pool :: print_resources |
| audit | function arg |
arg type bit, defined in function uvm_resource_pool :: dump |
| auto_compare | function |
function, defined in class svt_sequence_item_base, returns type bit |
| auto_copy | function |
function, defined in class svt_sequence_item_base, returns type void |
| auto_generation_category | attribute |
attribute type svt_chi_transaction :: auto_generation_category_enum, defined in class svt_chi_transaction |
| auto_generation_category_enum | enum typedef |
defined in class svt_chi_transaction |
| auto_pack | function |
function, defined in class svt_sequence_item_base, returns type void |
| AUTO_READ_AFTER_PARTIAL_WRITE_XACT | enum value |
member of svt_chi_transaction :: auto_generation_category_enum |
| auto_read_for_partial_write_xacts_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| auto_read_get_port | attribute |
attribute type uvm_nonblocking_get_port, defined in class svt_chi_rn_transaction_sequencer |
| auto_read_seq_enable | attribute |
attribute type bit, defined in class svt_chi_node_configuration |
| auto_unpack | function |
function, defined in class svt_sequence_item_base, returns type void |
| avail_sequences | function arg |
arg type integer, defined in function uvm_sequencer_base :: user_priority_arbitration |
| average_mem_access_to_coherent_response_gen_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_rn_snoop_response_gen_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_rsp_gen_latency_for_l3_hit | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_slave_req_gen_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_slave_xact_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_snoop_request_gen_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_snoop_response_to_coh_response_gen_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| average_transaction_latency | attribute |
attribute type real, defined in class svt_chi_system_hn_status |
| AVG_READ_LATENCY | enum value |
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum |
| AVG_WRITE_LATENCY | enum value |
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum |
| AXI_IF | interface typedef |
defined in global |
| axi_master_port_id | attribute |
attribute type int, defined in class svt_chi_system_transaction |
| axi_mstr_snps_to_chi_sys_mon_transaction_fifo | attribute |
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env |
| axi_mstr_to_chi_sys_mon_transaction_fifo | attribute |
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env |
| axi_slave_port_id | attribute |
attribute type int, defined in class svt_chi_system_transaction |
| axi_slv_to_chi_sys_mon_transaction_fifo | attribute |
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env |
| axi_xact | function arg |
arg type svt_axi_transaction, defined in function svt_chi_system_transaction :: set_rn_xact |
| AxSNOOP | function arg |
arg type bit [3:0] , defined in function svt_amba_pv_extension :: set_snoop |