|
t |
function arg |
arg type string, defined in function uvm_recorder :: create_stream |
| t |
attribute |
attribute type time, defined in class get_t |
| t |
function arg |
arg type T, defined in function uvm_resource :: write |
| t |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequencer_base :: send_request |
| t |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequencer_base :: analysis_write |
| t |
function arg |
arg type input T1, defined in function uvm_tlm_if_base :: try_put |
| t |
function arg |
arg type output T2, defined in function uvm_tlm_if_base :: try_get |
| t |
function arg |
arg type output T2, defined in function uvm_tlm_if_base :: try_peek |
| t |
function arg |
arg type input T1, defined in function uvm_tlm_if_base :: write |
| t |
task arg |
arg type input T1, defined in task uvm_tlm_if_base :: put |
| t |
task arg |
arg type output T2, defined in task uvm_tlm_if_base :: get |
| t |
task arg |
arg type output T2, defined in task uvm_tlm_if_base :: peek |
| t |
function arg |
arg type input T2, defined in function uvm_sqr_if_base :: item_done |
| t |
function arg |
arg type input T2, defined in function uvm_sqr_if_base :: put_response |
| t |
task arg |
arg type output T1, defined in task uvm_sqr_if_base :: get_next_item |
| t |
task arg |
arg type output T1, defined in task uvm_sqr_if_base :: try_next_item |
| t |
task arg |
arg type output T1, defined in task uvm_sqr_if_base :: get |
| t |
task arg |
arg type output T1, defined in task uvm_sqr_if_base :: peek |
| t |
task arg |
arg type input T2, defined in task uvm_sqr_if_base :: put |
| t |
task arg |
arg type T, defined in task uvm_blocking_put_imp :: put |
| t |
function arg |
arg type T, defined in function uvm_nonblocking_put_imp :: try_put |
| t |
function arg |
arg type T, defined in function uvm_put_imp :: try_put |
| t |
task arg |
arg type T, defined in task uvm_put_imp :: put |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_imp :: get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_imp :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_imp :: try_get |
| t |
task arg |
arg type output T, defined in task uvm_get_imp :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_peek_imp :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_peek_imp :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_peek_imp :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_peek_imp :: peek |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_imp :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_imp :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_imp :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_imp :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_imp :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_imp :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_imp :: get |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_imp :: peek |
| t |
task arg |
arg type REQ, defined in task uvm_blocking_master_imp :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_imp :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_imp :: peek |
| t |
function arg |
arg type REQ, defined in function uvm_nonblocking_master_imp :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_imp :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_imp :: try_peek |
| t |
function arg |
arg type REQ, defined in function uvm_master_imp :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_master_imp :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_master_imp :: try_peek |
| t |
task arg |
arg type REQ, defined in task uvm_master_imp :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_master_imp :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_master_imp :: peek |
| t |
task arg |
arg type RSP, defined in task uvm_blocking_slave_imp :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_imp :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_imp :: peek |
| t |
function arg |
arg type RSP, defined in function uvm_nonblocking_slave_imp :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_imp :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_imp :: try_peek |
| t |
function arg |
arg type RSP, defined in function uvm_slave_imp :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_imp :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_imp :: try_peek |
| t |
task arg |
arg type RSP, defined in task uvm_slave_imp :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_imp :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_imp :: peek |
| t |
task arg |
arg type T, defined in task uvm_blocking_put_port :: put |
| t |
function arg |
arg type T, defined in function uvm_nonblocking_put_port :: try_put |
| t |
function arg |
arg type T, defined in function uvm_put_port :: try_put |
| t |
task arg |
arg type T, defined in task uvm_put_port :: put |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_port :: get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_port :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_port :: try_get |
| t |
task arg |
arg type output T, defined in task uvm_get_port :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_peek_port :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_peek_port :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_peek_port :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_peek_port :: peek |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_port :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_port :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_port :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_port :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_port :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_port :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_port :: get |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_port :: peek |
| t |
task arg |
arg type REQ, defined in task uvm_blocking_master_port :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_port :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_port :: peek |
| t |
function arg |
arg type REQ, defined in function uvm_nonblocking_master_port :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_port :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_port :: try_peek |
| t |
function arg |
arg type REQ, defined in function uvm_master_port :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_master_port :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_master_port :: try_peek |
| t |
task arg |
arg type REQ, defined in task uvm_master_port :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_master_port :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_master_port :: peek |
| t |
task arg |
arg type RSP, defined in task uvm_blocking_slave_port :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_port :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_port :: peek |
| t |
function arg |
arg type RSP, defined in function uvm_nonblocking_slave_port :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_port :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_port :: try_peek |
| t |
function arg |
arg type RSP, defined in function uvm_slave_port :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_port :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_port :: try_peek |
| t |
task arg |
arg type RSP, defined in task uvm_slave_port :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_port :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_port :: peek |
| t |
task arg |
arg type T, defined in task uvm_blocking_put_export :: put |
| t |
function arg |
arg type T, defined in function uvm_nonblocking_put_export :: try_put |
| t |
function arg |
arg type T, defined in function uvm_put_export :: try_put |
| t |
task arg |
arg type T, defined in task uvm_put_export :: put |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_export :: get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_export :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_export :: try_get |
| t |
task arg |
arg type output T, defined in task uvm_get_export :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_peek_export :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_peek_export :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_peek_export :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_peek_export :: peek |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_export :: get |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_peek_export :: peek |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_export :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_nonblocking_get_peek_export :: try_peek |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_export :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_get_peek_export :: try_peek |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_export :: get |
| t |
task arg |
arg type output T, defined in task uvm_get_peek_export :: peek |
| t |
task arg |
arg type REQ, defined in task uvm_blocking_master_export :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_export :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_blocking_master_export :: peek |
| t |
function arg |
arg type REQ, defined in function uvm_nonblocking_master_export :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_export :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_nonblocking_master_export :: try_peek |
| t |
function arg |
arg type REQ, defined in function uvm_master_export :: try_put |
| t |
function arg |
arg type output RSP, defined in function uvm_master_export :: try_get |
| t |
function arg |
arg type output RSP, defined in function uvm_master_export :: try_peek |
| t |
task arg |
arg type REQ, defined in task uvm_master_export :: put |
| t |
task arg |
arg type output RSP, defined in task uvm_master_export :: get |
| t |
task arg |
arg type output RSP, defined in task uvm_master_export :: peek |
| t |
task arg |
arg type RSP, defined in task uvm_blocking_slave_export :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_export :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_blocking_slave_export :: peek |
| t |
function arg |
arg type RSP, defined in function uvm_nonblocking_slave_export :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_export :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_nonblocking_slave_export :: try_peek |
| t |
function arg |
arg type RSP, defined in function uvm_slave_export :: try_put |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_export :: try_get |
| t |
function arg |
arg type output REQ, defined in function uvm_slave_export :: try_peek |
| t |
task arg |
arg type RSP, defined in task uvm_slave_export :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_export :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_slave_export :: peek |
| t |
function arg |
arg type input T, defined in function uvm_analysis_port :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_export :: write |
| t |
function arg |
arg type T, defined in function uvm_tlm_fifo_base :: try_put |
| t |
function arg |
arg type output T, defined in function uvm_tlm_fifo_base :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_tlm_fifo_base :: try_peek |
| t |
task arg |
arg type T, defined in task uvm_tlm_fifo_base :: put |
| t |
task arg |
arg type output T, defined in task uvm_tlm_fifo_base :: get |
| t |
task arg |
arg type output T, defined in task uvm_tlm_fifo_base :: peek |
| t |
function arg |
arg type output T, defined in function uvm_tlm_fifo :: try_get |
| t |
function arg |
arg type output T, defined in function uvm_tlm_fifo :: try_peek |
| t |
function arg |
arg type input T, defined in function uvm_tlm_fifo :: try_put |
| t |
task arg |
arg type input T, defined in task uvm_tlm_fifo :: put |
| t |
task arg |
arg type output T, defined in task uvm_tlm_fifo :: get |
| t |
task arg |
arg type output T, defined in task uvm_tlm_fifo :: peek |
| t |
function arg |
arg type input T, defined in function uvm_tlm_analysis_fifo :: write |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_port :: item_done |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_port :: put_response |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_port :: get_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_port :: try_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_port :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_port :: peek |
| t |
task arg |
arg type input RSP, defined in task uvm_seq_item_pull_port :: put |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_export :: item_done |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_export :: put_response |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_export :: get_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_export :: try_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_export :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_export :: peek |
| t |
task arg |
arg type input RSP, defined in task uvm_seq_item_pull_export :: put |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_imp :: item_done |
| t |
function arg |
arg type input RSP, defined in function uvm_seq_item_pull_imp :: put_response |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_imp :: get_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_imp :: try_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_imp :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_seq_item_pull_imp :: peek |
| t |
task arg |
arg type input RSP, defined in task uvm_seq_item_pull_imp :: put |
| t |
function arg |
arg type input T, defined in function uvm_built_in_converter :: convert2string |
| t |
function arg |
arg type input T, defined in function uvm_class_converter :: convert2string |
| t |
task arg |
arg type T, defined in task uvm_random_stimulus :: generate_stimulus |
| t |
function arg |
arg type T, defined in function uvm_subscriber :: write |
| t |
function arg |
arg type input RSP, defined in function uvm_sequencer_analysis_fifo :: write |
| t |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequencer_param_base :: send_request |
| t |
function arg |
arg type RSP, defined in function uvm_sequencer_param_base :: put_response |
| t |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequencer_param_base :: analysis_write |
| t |
task arg |
arg type output REQ, defined in task uvm_sequencer :: get_next_item |
| t |
task arg |
arg type output REQ, defined in task uvm_sequencer :: try_next_item |
| t |
task arg |
arg type RSP, defined in task uvm_sequencer :: put |
| t |
task arg |
arg type output REQ, defined in task uvm_sequencer :: get |
| t |
task arg |
arg type output REQ, defined in task uvm_sequencer :: peek |
| t |
function arg |
arg type real, defined in function uvm_tlm_time :: incr |
| t |
function arg |
arg type real, defined in function uvm_tlm_time :: decr |
| t |
function arg |
arg type real, defined in function uvm_tlm_time :: set_abstime |
| t |
function arg |
arg type T, defined in function uvm_tlm_if :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_if :: nb_transport_bw |
| t |
task arg |
arg type T, defined in task uvm_tlm_if :: b_transport |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_transport_imp :: b_transport |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_fw_imp :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_bw_imp :: nb_transport_bw |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_transport_port :: b_transport |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_fw_port :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_bw_port :: nb_transport_bw |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_transport_export :: b_transport |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_fw_export :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_transport_bw_export :: nb_transport_bw |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_initiator_socket_base :: b_transport |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_target_socket_base :: nb_transport_bw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_initiator_socket_base :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_bw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_fw |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_bw |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_passthrough_initiator_socket_base :: b_transport |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_passthrough_target_socket_base :: b_transport |
| t |
task arg |
arg type T, defined in task uvm_tlm_b_target_socket :: b_transport |
| t |
function arg |
arg type T, defined in function uvm_tlm_nb_target_socket :: nb_transport_fw |
| t |
function arg |
arg type uvm_hdl_path_slice, defined in function uvm_hdl_path_concat :: set |
| t |
task arg |
arg type output REQ, defined in task svt_sequencer :: get_next_item |
| t |
function arg |
arg type input T, defined in function svt_downstream_imp :: try_put |
| t |
task arg |
arg type T, defined in task svt_downstream_imp :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rx_dat_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rx_rsp_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rx_snp_flit :: put |
| t |
task arg |
arg type output T, defined in task uvm_blocking_get_imp_snp_xact :: get |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_tx_req_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_tx_rsp_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_tx_dat_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_rx_dat_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_rx_rsp_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_rx_snp_flit :: write |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_sn_rx_req_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_sn_rx_dat_flit :: put |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_sn_rx_req_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_sn_rx_dat_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_sn_tx_rsp_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_sn_tx_dat_flit :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_rn_coh_xact :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_rn_snp_xact :: write |
| t |
function arg |
arg type input T, defined in function uvm_analysis_imp_sn_xact :: write |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rn_req_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rn_rsp_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_rn_dat_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_sn_dat_flit :: put |
| t |
task arg |
arg type input T, defined in task uvm_blocking_put_imp_sn_rsp_flit :: put |
|
tag |
function arg |
arg type bit [(SVT_AXI_NUM_BITS_IN_TAG-1):0], defined in function svt_axi_cache :: set_tag |
| tag |
function arg |
arg type output bit [(SVT_AXI_NUM_BITS_IN_TAG-1):0], defined in function svt_axi_cache :: get_tag |
| tag |
attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_TAG_WIDTH-1):0], defined in class svt_chi_transaction |
| tag |
attribute |
attribute type bit [(SVT_CHI_XACT_MAX_TAG_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
| tag |
attribute |
attribute type rand bit [(SVT_CHI_XACT_DAT_FLIT_MAX_TAG_WIDTH-1):0], defined in class svt_chi_flit |
|
TAG_FETCH_MATCH |
enum value |
member of svt_chi_common_transaction :: tag_op_enum |
|
tag_group_id |
attribute |
attribute type rand bit [(SVT_CHI_TAGGROUPID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
TAG_INVALID |
enum value |
member of svt_chi_common_transaction :: tag_op_enum |
|
tag_match_resp |
attribute |
attribute type rand bit [(SVT_CHI_TAG_MATCH_RESP_WIDTH-1):0], defined in class svt_chi_transaction |
| tag_match_resp |
attribute |
attribute type rand bit [(SVT_CHI_TAG_MATCH_RESP_WIDTH-1):0], defined in class svt_chi_flit |
|
tag_match_resp_err_status |
attribute |
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction |
|
tag_name |
function arg |
arg type string, defined in function svt_vip_writer :: add_object_tag |
|
tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
tag_op |
attribute |
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_flit |
|
tag_op_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
TAG_STATE_CLEAN |
enum value |
member of svt_chi_common_transaction :: tag_state_enum |
|
TAG_STATE_DIRTY |
enum value |
member of svt_chi_common_transaction :: tag_state_enum |
|
tag_state_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
TAG_STATE_INVALID |
enum value |
member of svt_chi_common_transaction :: tag_state_enum |
|
tag_str |
function arg |
arg type output string, defined in function svt_axi_cache :: get_tag |
|
TAG_TRANSFER |
enum value |
member of svt_chi_common_transaction :: tag_op_enum |
|
tag_update |
function arg |
arg type bit, defined in function svt_axi_cache :: set_tag |
| tag_update |
function arg |
arg type output bit, defined in function svt_axi_cache :: get_tag |
| TAG_UPDATE |
enum value |
member of svt_chi_common_transaction :: tag_op_enum |
| tag_update |
attribute |
attribute type rand bit [(SVT_CHI_XACT_MAX_TAG_UPDATE_WIDTH-1):0], defined in class svt_chi_transaction |
| tag_update |
attribute |
attribute type bit [(SVT_CHI_XACT_MAX_TAG_UPDATE_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
| tag_update |
attribute |
attribute type rand bit [(SVT_CHI_XACT_DAT_FLIT_MAX_TAG_UPDATE_WIDTH-1):0], defined in class svt_chi_flit |
|
tagged_addr |
function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_transaction :: get_ns_from_tagged_addr |
| tagged_addr |
function arg |
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_transaction :: get_nse_from_tagged_addr |
|
tagged_aligned_addr |
function arg |
arg type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in function svt_chi_memory :: write_poison |
| tagged_aligned_addr |
function arg |
arg type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in function svt_chi_memory :: read_poison |
| tagged_aligned_addr |
function arg |
arg type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in function svt_chi_memory :: read_tag |
|
TAGMATCH |
enum value |
member of svt_chi_common_transaction :: rsp_msg_type_enum |
|
target |
function arg |
arg type uvm_domain, defined in function uvm_phase :: sync |
| target |
function arg |
arg type uvm_domain, defined in function uvm_phase :: unsync |
| target |
attribute |
attribute type uvm_object, defined in class uvm_heartbeat_callback |
| target |
function arg |
arg type uvm_object, defined in function uvm_heartbeat_callback :: new |
|
target_hn_i_node_index_0 |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
target_hn_i_node_index_0_c |
constraint |
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
TARGET_HN_INDEX |
enum value |
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum |
|
target_hn_node_idx_0 |
attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
|
target_hn_node_idx_1 |
attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
|
target_hn_sys_cfg |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_system_transaction :: set_rn_xact |
|
target_id |
attribute |
attribute type int, defined in class svt_chi_system_configuration |
|
target_id_flag |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| target_id_flag |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
|
target_node |
task arg |
arg type int, defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_evict |
| target_node |
task arg |
arg type int, defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_writeback |
|
target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_makeunique_xact |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_cleanunqiue_xact |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeclean_xact |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeback_xact |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_readnotshareddirty_xact |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: invalidate_all_cachelines |
| target_node_index |
task arg |
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_invalidate_xact |
|
target_object_uid |
function arg |
arg type string, defined in function svt_vip_writer :: set_custom_relation |
|
target_object_uids |
function arg |
arg type string, defined in function svt_vip_writer :: set_custom_relations |
|
target_writer |
function arg |
arg type svt_vip_writer, defined in function svt_vip_writer :: set_custom_relation |
| target_writer |
function arg |
arg type svt_vip_writer, defined in function svt_vip_writer :: set_custom_relations |
|
test_exception |
function arg |
arg type svt_exception, defined in function svt_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_common_transaction_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_base_transaction_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_snoop_transaction_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_transaction_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_flit_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_rn_transaction_exception :: collision |
| test_exception |
function arg |
arg type svt_exception, defined in function svt_chi_sn_transaction_exception :: collision |
|
test_incoming |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm_state_base :: is_dest_fsm_state |
|
test_name |
task arg |
arg type string, defined in task uvm_root :: run_test |
| test_name |
task arg |
arg type string, defined in task glboal :: run_test |
|
test_next |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm :: is_viable_next_fsm_state |
| test_next |
function arg |
arg type svt_chi_link_common :: txla_state_enum, defined in function svt_chi_link_txla_fsm :: is_viable_next_txla_state |
| test_next |
function arg |
arg type svt_chi_link_common :: rxla_state_enum, defined in function svt_chi_link_rxla_fsm :: is_viable_next_rxla_state |
| test_next |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_link_sysco_interface_fsm :: is_viable_next_sysco_interface_state |
|
test_pass |
function arg |
arg type bit, defined in function svt_err_check :: execute |
| test_pass |
function arg |
arg type bit, defined in function svt_err_check :: execute_stats |
|
test_profile_path |
function arg |
arg type input string, defined in function glboal :: svt_vcap__analyze_test |
|
tests |
attribute |
attribute type bit [63:0] , defined in class uvm_reg_mem_built_in_seq |
|
text |
function arg |
arg type string, defined in function svt_sequence_item_base :: load_from_string |
| text |
function arg |
arg type string, defined in function svt_uvm_cmd_assistant_report_catcher :: apply_filter |
|
tgt_id |
attribute |
attribute type rand bit [(SVT_CHI_TGT_ID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
this_item |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequence_base :: mid_do |
| this_item |
function arg |
arg type uvm_sequence_item, defined in function uvm_sequence_base :: post_do |
| this_item |
function arg |
arg type uvm_sequence_item, defined in function chi_rn_barrier_directed_virtual_sequence :: mid_do |
|
this_priority |
task arg |
arg type int, defined in task uvm_sequence_base :: start |
|
this_subtype |
class typedef |
defined in class uvm_int_rsrc |
| this_subtype |
class typedef |
defined in class uvm_string_rsrc |
| this_subtype |
class typedef |
defined in class uvm_obj_rsrc |
| this_subtype |
class typedef |
defined in class uvm_bit_rsrc |
| this_subtype |
class typedef |
defined in class uvm_byte_rsrc |
|
this_super_type |
class typedef |
defined in class uvm_derived_callbacks |
|
this_type |
class typedef |
defined in class uvm_pool |
| this_type |
class typedef |
defined in class uvm_object_string_pool |
| this_type |
class typedef |
defined in class uvm_queue |
| this_type |
class typedef |
defined in class uvm_component_registry |
| this_type |
class typedef |
defined in class uvm_object_registry |
| this_type |
class typedef |
defined in class uvm_resource |
| this_type |
class typedef |
defined in class uvm_callbacks_base |
| this_type |
class typedef |
defined in class uvm_typed_callbacks |
| this_type |
class typedef |
defined in class uvm_callbacks |
| this_type |
class typedef |
defined in class uvm_derived_callbacks |
| this_type |
class typedef |
defined in class uvm_port_base |
| this_type |
class typedef |
defined in class uvm_tlm_fifo_base |
| this_type |
class typedef |
defined in class uvm_tlm_req_rsp_channel |
| this_type |
class typedef |
defined in class uvm_tlm_transport_channel |
| this_type |
class typedef |
defined in class uvm_class_pair |
| this_type |
class typedef |
defined in class uvm_built_in_pair |
| this_type |
class typedef |
defined in class uvm_in_order_comparator |
| this_type |
class typedef |
defined in class uvm_in_order_built_in_comparator |
| this_type |
class typedef |
defined in class uvm_in_order_class_comparator |
| this_type |
class typedef |
defined in class uvm_algorithmic_comparator |
| this_type |
class typedef |
defined in class uvm_random_stimulus |
| this_type |
class typedef |
defined in class uvm_subscriber |
| this_type |
class typedef |
defined in class uvm_sequencer_param_base |
| this_type |
class typedef |
defined in class uvm_sequencer |
| this_type |
class typedef |
defined in class uvm_push_sequencer |
| this_type |
class typedef |
defined in class uvm_sequence_library |
| this_type |
class typedef |
defined in class uvm_tlm_extension |
| this_type |
class typedef |
defined in class svt_named_factory_override |
| this_type |
class typedef |
defined in class svt_type_factory_override |
|
this_type_reactive_driver |
class typedef |
defined in class svt_reactive_driver |
|
this_user_type |
class typedef |
defined in class uvm_derived_callbacks |
|
three_sn_f_striping_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
three_sn_f_striping_top_address_bit_0 |
attribute |
attribute type bit [(SVT_CHI_ADDR_IDX_WIDTH-1):0], defined in class svt_chi_system_configuration |
|
three_sn_f_striping_top_address_bit_1 |
attribute |
attribute type bit [(SVT_CHI_ADDR_IDX_WIDTH-1):0], defined in class svt_chi_system_configuration |
|
threshold |
function arg |
arg type int, defined in function uvm_barrier :: new |
| threshold |
function arg |
arg type int, defined in function uvm_barrier :: set_threshold |
| threshold |
function arg |
arg type int, defined in function svt_err_check :: filter_after_n_fails |
|
THRIFTY |
enum value |
member of uvm_mem_mam :: alloc_mode_e |
|
THROW |
enum value |
member of uvm_report_catcher :: action_e |
|
time_unit |
function arg |
arg type string, defined in function svt_vip_writer :: object_create |
|
time_unit_val |
function arg |
arg type string, defined in function svt_vip_writer :: set_object_field_value_time |
|
timed_out |
task arg |
arg type output bit, defined in task svt_timer :: wait_for_timeout |
|
timeout |
function arg |
arg type time, defined in function uvm_root :: set_timeout |
| TIMEOUT |
attribute |
attribute type uvm_event, defined in class svt_timer |
| timeout |
function arg |
arg type time, defined in function glboal :: set_global_timeout |
| timeout |
function arg |
arg type time, defined in function glboal :: set_global_stop_timeout |
|
timeout_sev |
attribute |
attribute type uvm_severity, defined in class svt_timer |
|
timeout_verb |
attribute |
attribute type uvm_verbosity, defined in class svt_timer |
|
timeunit_enum |
enum typedef |
defined in class svt_types |
|
timeunit_factor |
attribute |
attribute type real, defined in class svt_amba_perf_rec_base |
|
timeunit_mul |
attribute |
attribute type int, defined in class svt_amba_perf_rec_base |
|
timeunit_string |
attribute |
attribute type static string, defined in class svt_chi_system_status |
|
timeunit_value |
function arg |
arg type string, defined in function svt_debug_opts :: record_package_timeunit |
|
timeunits |
attribute |
attribute type string, defined in class svt_amba_perf_rec_base |
|
TLB_INVALIDATE |
enum value |
member of svt_amba_pv :: dvm_message_t |
|
tlm_generic_payload_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| tlm_generic_payload_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
|
tlm_generic_payload_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_node_protocol_monitor |
|
tlm_generic_payload_sequencer |
attribute |
attribute type svt_chi_tlm_generic_payload_sequencer, defined in class svt_chi_rn_agent |
|
tlm_generic_payload_snoop_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_node_protocol_monitor |
|
tlm_gp_rsp_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_rn_transaction_sequencer |
|
tlm_gp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_transaction_sequencer |
|
tlm_gp_xact |
function arg |
arg type uvm_tlm_generic_payload, defined in function svt_chi_rn_transaction_sequencer_callback :: post_tlm_gp_to_chi_mapping |
|
tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_recorder :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_object :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_class_pair :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_built_in_pair :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_sequence_library_cfg :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_sequence_library :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_tlm_generic_payload :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_field :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_vreg_field :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_item :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_map :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_tlm_adapter :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_backdoor :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_read_only_cbs :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_write_only_cbs :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_hw_reset_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_single_bit_bash_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_bit_bash_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_mem_single_walk_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_mem_walk_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_mem_single_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_mem_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_single_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_mem_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_shared_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_mem_shared_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_mem_shared_access_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_mem_built_in_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function uvm_reg_mem_hdl_paths_seq :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_amba_pv_extension :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_rn_transaction_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_sn_transaction_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_ic_sn_transaction_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_rn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_rn_virtual_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_ic_rn_virtual_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_sn_virtual_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_ic_sn_virtual_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function chi_rn_barrier_directed_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function chi_rn_directed_noncoherent_xact_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function chi_rn_barrier_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_tlm_generic_payload_sequencer :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_reg_adapter :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_system_monitor :: __m_uvm_field_automation |
| tmp_data__ |
function arg |
arg type uvm_object, defined in function svt_chi_interconnect :: __m_uvm_field_automation |
|
tname |
function arg |
arg type string, defined in function uvm_callbacks :: m_register_pair |
| tname |
function arg |
arg type string, defined in function uvm_derived_callbacks :: register_super_type |
|
to |
function arg |
arg type uvm_sequence_item, defined in function svt_configuration :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_configuration :: copy_dynamic_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_sequence_item :: copy_implementation |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_sequence_item :: copy_trace |
| to |
function arg |
arg type svt_sequence_item, defined in function svt_sequence_item :: comp |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_fifo_rate_control_configuration :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_fifo_rate_control_configuration :: copy_dynamic_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_amba_fifo_rate_control_configuration :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_amba_fifo_rate_control_configuration :: copy_dynamic_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_hn_addr_range :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_hn_addr_range :: copy_dynamic_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_system_domain_item :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_system_domain_item :: copy_dynamic_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_address_configuration :: copy_static_data |
| to |
function arg |
arg type uvm_sequence_item, defined in function svt_chi_address_configuration :: copy_dynamic_data |
|
to_array |
function arg |
arg type ref svt_amba_pv_response, defined in function svt_amba_pv_extension :: get_response_array |
|
to_state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm :: goto_cb_exec |
| to_state |
task arg |
arg type output svt_fsm_state_base, defined in task svt_fsm :: wait_for_state_transition |
| to_state |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_fsm_callback :: goto |
|
toggle_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
top |
attribute |
attribute type uvm_root, defined in class uvm_objection |
|
top_layer |
attribute |
attribute type svt_chi_system_configuration :: chi_layer_enum, defined in class svt_chi_system_configuration |
|
top_level_inst |
function arg |
arg type output string, defined in function svt_debug_opts :: split_leaf_path_from_top_level |
|
top_level_ix |
attribute |
attribute type protected int, defined in class svt_sequence_item_iter |
| top_level_ix |
function arg |
arg type int, defined in function svt_sequence_item_iter :: initialize |
|
top_level_name |
function arg |
arg type string, defined in function svt_sequence_item_base :: save_prop_vals_to_fsdb_internal |
|
top_levels |
attribute |
attribute type uvm_component, defined in class uvm_root |
|
total_dvm_txns_recieved |
attribute |
attribute type static int, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence |
|
total_expected_fill_level |
attribute |
attribute type int, defined in class svt_fifo_rate_control |
|
total_mem_access_to_coh_rsp_gen_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_num_bytes |
attribute |
attribute type rand int unsigned, defined in class svt_traffic_profile_transaction |
|
total_outstanding_xact_flag |
attribute |
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
| total_outstanding_xact_flag |
attribute |
attribute type protected bit, defined in class svt_chi_system_monitor_def_cov_data_callback |
|
total_rn_snoop_rsp_gen_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_rsp_gen_latency_for_l3_hit |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_slave_req_gen_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_slave_xact_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_snoop_req_gen_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_snp_rsp_to_coh_rsp_gen_latency |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
total_xact_latency |
attribute |
attribute type real, defined in class svt_chi_hn_status |
|
tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: accept_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: do_accept_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: begin_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: begin_child_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: do_begin_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: end_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: do_end_tr |
| tr |
function arg |
arg type uvm_transaction, defined in function uvm_component :: m_begin_tr |
| tr |
function arg |
arg type BUSTYPE, defined in function uvm_reg_predictor :: write |
|
tr_handle |
attribute |
attribute type integer, defined in class uvm_recorder |
| tr_handle |
function arg |
arg type integer, defined in function uvm_component :: do_begin_tr |
| tr_handle |
function arg |
arg type integer, defined in function uvm_component :: do_end_tr |
|
TRACE |
enum value |
member of svt_types :: severity_enum |
| trace |
attribute |
attribute type svt_sequence_item, defined in class svt_sequence_item |
| TRACE |
enum value |
member of svt_sequence_item_iter :: iter_type_enum |
|
trace_display_depth |
function arg |
arg type int, defined in function svt_sequence_item_report :: set_trace_display_depth |
| trace_display_depth |
function arg |
arg type int, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: set_trace_display_depth |
| trace_display_depth |
function arg |
arg type int, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: set_trace_display_depth |
| trace_display_depth |
function arg |
arg type int, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: set_trace_display_depth |
| trace_display_depth |
function arg |
arg type int, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: set_trace_display_depth |
|
trace_file |
attribute |
attribute type protected int, defined in class svt_sequence_item_report |
|
trace_filename |
attribute |
attribute type protected string, defined in class svt_sequence_item_report |
|
trace_header_present |
attribute |
attribute type protected bit, defined in class svt_sequence_item_report |
|
trace_header_present_val |
function arg |
arg type bit, defined in function svt_sequence_item_report :: set_trace_header_present |
|
trace_mode |
function |
function, defined in class uvm_objection,
returns type bit |
|
trace_tag |
attribute |
attribute type rand bit, defined in class svt_chi_common_transaction |
|
trace_tag_validity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
trace_xact |
function arg |
arg type svt_sequence_item, defined in function svt_sequence_item :: store_trace |
|
track_inactivity_time_for_reads |
task |
defined in class svt_chi_node_pmu |
|
track_inactivity_time_for_writes |
task |
defined in class svt_chi_node_pmu |
|
track_messaging |
function |
function, defined in class svt_debug_opts,
returns type void |
|
track_output_event |
task |
defined in class svt_traffic_arbiter |
|
track_performance_parameters |
task |
defined in class svt_chi_node_pmu |
|
track_reporter |
function arg |
arg type uvm_report_object, defined in function svt_debug_opts :: track_messaging |
|
track_responses |
task |
defined in class svt_chi_rn_read_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_exclusive_access_sequence |
| track_responses |
task |
defined in class svt_chi_rn_write_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_copyback_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_cmo_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence |
| track_responses |
task |
defined in class chi_rn_barrier_directed_sequence |
| track_responses |
task |
defined in class chi_rn_directed_noncoherent_xact_sequence |
| track_responses |
task |
defined in class svt_chi_rn_atomic_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence |
| track_responses |
task |
defined in class svt_chi_rn_makereadunique_type_transaction_directed_sequence |
|
track_timeout_forever |
task |
defined in class svt_timer |
|
traffic_profile_fifo |
attribute |
attribute type uvm_tlm_fifo, defined in class svt_traffic_arbiter |
|
traffic_profile_name |
function arg |
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_input_event |
| traffic_profile_name |
function arg |
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_output_event |
|
traffic_q |
attribute |
attribute type protected svt_traffic_profile_transaction, defined in class svt_traffic_arbiter |
|
trans |
function arg |
arg type int unsigned, defined in function svt_amba_pv_extension :: set_dvm_transaction |
|
trans_chi_b_outstanding_atomic_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_rn_f_req_copyback_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_rn_f_snpstash_opcode_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_rn_prefetchtgt_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_rn_stash_opcode_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_atomic_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_atomiccompare_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_atomicload_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_atomicstore_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_atomicswap_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_cachemaintenance_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_cleaninvalid_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_cleanshared_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_cleansharedpersist_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_b_sn_req_makeinvalid_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_chi_c_sn_req_readnosnpsep_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_chi_d_hn_cbusy_indication_from_rn_perspective |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_hn_cbusy_indication_on_dat_channel_from_rn_perspective |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_hn_cbusy_indication_on_rsp_channel_from_rn_perspective |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_cbusy_indication |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_cbusy_indication_on_dat_channel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_cbusy_indication_on_rsp_channel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_cleansharedpersistsep_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_owo_writenosnp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_rn_owo_writeunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_d_sn_req_cleansharedpersistsep_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_chi_e_consecutive_transaction_with_memory_tagging_enabled_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_chi_e_makereadunique_snpquery_used_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_outstanding_combined_write_cmo_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_rn_req_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_rn_snp_req_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_sn_req_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_sn_req_writenosnp_non_persistent_cmo_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_sn_req_writenosnp_persistent_cmo_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_sn_req_writenosnpzero_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_e_txn1_receiving_dbidrespord_followed_by_txn2_to_same_cacheline |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_chi_expected_txrun_rxrun_to_txstop_rxstop_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_expected_txstop_rxstop_to_txrun_rxrun_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_link_activation_deactivation |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
| trans_chi_link_activation_deactivation |
covergroup |
defined in class svt_chi_sn_link_monitor_def_cov_callback |
|
trans_chi_link_deactivation_due_to_timeout |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_req_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_rx_dat_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_rx_rsp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_snp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_tx_dat_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_of_tx_rsp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_num_outstanding_xacts_per_src_id |
covergroup |
defined in class svt_chi_coverage_per_src_id_container |
|
trans_chi_outstanding_cmo_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_outstanding_read_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_outstanding_write_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_outstanding_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_outstanding_xact_retry_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_req_snp_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_req_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_back2back_cycles_protocol_flitv_assertion_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_l_credit_received_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_l_credit_received_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_req_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rn_cmo_observed_while_outstanding_xacts_other_than_cacheable_reads_to_same_cacheline |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_copyback_req_order_enable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_dvm_request_outstanding_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_outstanding_dvm_snoop_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_cachemaintenance_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_dvm_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_dvm_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_read_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_snpdvm_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_d_req_write_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_data_flit_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_excl_access_status |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_excl_monitor_status |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_dvm_request_outstanding_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_outstanding_dvm_snoop_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_outstanding_non_fwd_non_stash_snoop_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_port_chi_rn_f_port_concurrent_overlapping_chi_rn_f_atomic_xacts_with_chi_rn_f_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_f_port_concurrent_overlapping_chi_rn_f_atomic_xacts_with_chi_rn_f_non_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_f_port_concurrent_overlapping_chi_rn_f_non_atomic_xacts_with_chi_rn_f_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_e_rn_f_xacts_with_chi_e_rn_i_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_e_rn_f_xacts_with_chi_rn_i_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_e_rn_f_xacts_with_chi_rn_i_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_rn_f_atomic_xacts_with_chi_e_rn_i_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_rn_f_atomic_xacts_with_chi_rn_i_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_rn_f_non_atomic_xacts_with_chi_rn_i_atomic_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_concurrent_overlapping_chi_rn_f_xacts_with_chi_e_rn_i_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
trans_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts |
covergroup |
defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container |
|
trans_chi_rn_f_req_cachemaintenance_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_req_dvm_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_req_dvm_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_req_read_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_req_snpdvm_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_f_req_write_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_i_req_cachemaintenance_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_i_req_read_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_i_req_write_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_outstanding_dvmop_sync_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_req_order_stream_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_req_read_data_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_req_read_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_req_write_data_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_req_write_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rn_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_l_credit_transmitted_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_l_credit_transmitted_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_lcredit_transmitted_during_rx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_dat_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_datlinkflit_seen_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_observed_dat_flit_during_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_observed_rsp_flit_during_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_observed_snp_flit_during_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_l_credit_transmitted_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_l_credit_transmitted_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_lcredit_transmitted_during_rx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_rsplinkflit_seen_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_snp_vc_lcredit_transmitted_during_rx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_snplinkflit_seen_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_transmitted_dat_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_transmitted_rsp_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rx_transmitted_snp_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxdat_lcrd_to_next_rxdat_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxdat_return_lcrd_to_next_rxdat_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxdat_vc_num_return_lcredits_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxla_ack_assertion_to_rxsnp_flitv_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxla_req_deassertion_to_rxdat_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxla_req_deassertion_to_rxrsp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxla_req_deassertion_to_rxsnp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_asserted_same_cycle_rxdatlcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_asserted_same_cycle_rxrsplcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_asserted_same_cycle_rxsnplcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_assertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_assertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_deassertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactiveack_deassertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_assertion_followed_by_rxsactive_assertion |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_assertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_assertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_deasserted_same_cycle_rxdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_deasserted_same_cycle_rxrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_deasserted_same_cycle_rxsnpflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_deassertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxlinkactivereq_deassertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxrsp_lcrd_to_next_rxrsp_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxrsp_return_lcrd_to_next_rxrsp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxrsp_vc_num_return_lcredits_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_next_cycle_rxdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_next_cycle_rxrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_next_cycle_snpflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_same_cycle_rxdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_same_cycle_rxlinkactivereq_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_same_cycle_rxrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_asserted_same_cycle_snpflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_followed_by_rxlinkactivereq_assertion |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_rxlinkactiveack_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_rxlinkactiveack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_rxlinkactivereq_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_rxlinkactivereq_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_txlinkactiveack_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_txlinkactiveack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_txlinkactivereq_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_assertion_to_txlinkactivereq_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_deasserted_while_receiving_dat_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_deasserted_while_receiving_rsp_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsactive_deasserted_while_receiving_snp_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_flitv_observed_in_txla_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_flitv_observed_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_flitv_observed_in_txla_stop_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_flitv_to_rxla_req_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_lcrd_to_next_rxsnp_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_lcrdv_to_rxsnp_flitv_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_return_lcrd_to_next_rxsnp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_rxsnp_vc_num_return_lcredits_in_rxla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_sn_data_flit_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_f_req_read_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_f_req_write_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_i_req_read_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_i_req_write_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_req_read_data_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_req_read_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_req_write_data_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_req_write_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_sn_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_chi_snp_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_l_credit_transmitted_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_l_credit_transmitted_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_snp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_speculative_rxsactive_asserted_txla_rxla_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_speculative_rxsactive_assertion_to_deassertion_clock_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_speculative_txsactive_asserted_txla_rxla_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_speculative_txsactive_assertion_to_deassertion_clock_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_sysco_interface_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_l_credit_received_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_l_credit_received_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_lcredit_received_during_tx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_dat_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_datlinkflit_seen_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_observed_dat_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_observed_req_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_observed_rsp_l_credits_during_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_req_vc_lcredit_received_during_tx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_reqlinkflit_seen_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_advertised_curr_l_credit_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_l_credit_available_flitpend_asserted_back2back_cycles_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_l_credit_available_flitpend_asserted_without_flitv |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_l_credit_received_next_cycle_flitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_l_credit_received_same_cycle_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_lcredit_received_during_tx_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_no_l_credit_available_flitpend_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_no_l_credit_available_flitpend_asserted_back2back_cycles |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsp_vc_protocol_flit_observed |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_tx_rsplinkflit_seen_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_lcrd_observed_in_txla_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_lcrd_observed_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_lcrd_observed_in_txla_run_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_lcrd_to_next_txdat_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_return_lcrd_to_next_txdat_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_return_lcrd_to_txla_ack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdat_vc_num_return_lcredits_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdatflit_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txdatflitpend_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_ack_assertion_to_rxsnp_flitv_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_ack_assertion_to_txdat_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_ack_assertion_to_txreq_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_ack_assertion_to_txrsp_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_ack_deassertion_to_rxsnp_flitv_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_assertion_to_rxsnp_flitv_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_deassertion_to_rxsnp_flitv_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_deassertion_to_txdat_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_deassertion_to_txreq_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_deassertion_to_txrsp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_to_rxla_req_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_to_rxla_req_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_req_to_txla_ack_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_rxla_async_input_race_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_rxla_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_rxla_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_rxla_state_transition_from_banned_outut_race_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txla_rxla_state_transition_to_banned_outut_race_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_asserted_same_cycle_txdatlcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_asserted_same_cycle_txreqlcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_asserted_same_cycle_txrsplcrdv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_assertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_assertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_deassertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactiveack_deassertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_assertion_followed_by_txsactive_assertion |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_assertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_assertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_deasserted_same_cycle_txdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_deasserted_same_cycle_txreqflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_deasserted_same_cycle_txrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_deassertion_to_rxsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txlinkactivereq_deassertion_to_txsactive_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_lcrd_observed_in_txla_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_lcrd_observed_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_lcrd_observed_in_txla_run_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_lcrd_to_next_txreq_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_return_lcrd_to_next_txreq_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_return_lcrd_to_txla_ack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreq_vc_num_return_lcredits_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreqflit_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txreqflitpend_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_lcrd_observed_in_txla_activate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_lcrd_observed_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_lcrd_observed_in_txla_run_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_lcrd_to_next_txrsp_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_return_lcrd_to_next_txrsp_return_lcrd_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_return_lcrd_to_txla_ack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrsp_vc_num_return_lcredits_in_txla_deactivate_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrspflit_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txrspflitpend_x_during_reset |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_link_activated_reqflit_transmitted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_next_cycle_reqflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_next_cycle_txdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_next_cycle_txrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_same_cycle_reqflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_same_cycle_txdatflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_same_cycle_txlinkactivereq_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_asserted_same_cycle_txrspflitv_asserted |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_followed_by_txlinkactivereq_assertion |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_rxlinkactiveack_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_rxlinkactiveack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_rxlinkactivereq_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_rxlinkactivereq_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_txlinkactiveack_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_txlinkactiveack_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_txlinkactivereq_assertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_assertion_to_txlinkactivereq_deassertion_delay_count |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_deasserted_while_transmitting_dat_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_deasserted_while_transmitting_req_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_deasserted_while_transmitting_rsp_linkflit |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_rxsactive |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_txsactive_rxsactive_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_valid_txrun_rxrun_to_txstop_rxstop_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_chi_valid_txstop_rxstop_to_txrun_rxrun_state_transition |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_consecutive_copyback_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_consecutive_order_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_consecutive_order_writenosnp_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_consecutive_owo_write_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_cross_chi_b_data_flit_opcode_poison |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_snp_opcode_poison |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_snp_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_snprespdatafwded_opcode_poison |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_snprespdatafwded_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_writedatacancel_opcode_poison |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_data_flit_writedatacancel_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_outstanding_atomic_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_outstanding_snoopnotshareddirty_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_outstanding_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomiccompare_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomiccompare_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomiccompare_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicload_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicload_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicload_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicstore_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicstore_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicstore_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicswap_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicswap_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_atomicswap_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_cleanshared_cacheinitialstate_cachefinalstate_comp_response |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_cleansharedpersist_cacheinitialstate_cachefinalstate_comp_response |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readnosnp_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readnotshareddirty_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readonce_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readoncemakeinvalid_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readunique_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_readunique_otherspermitted_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpclean_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpcleanfwd_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpcleaninvalid_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpcleanshared_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpmakeinvalid_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpnotshareddirty_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpnotshareddirtyfwd_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snponce_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snponcefwd_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpshared_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpsharedfwd_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpunique_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_snpuniquefwd_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writenosnpptl_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writenosnpptl_writedatacancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writeuniquefullstash_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writeuniqueptl_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writeuniqueptl_writedatacancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_req_writeuniqueptlstash_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_load_swap_compare_response_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_endian |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_datasize_endian |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_read_write_data_resp_err_dbid_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_snoopable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_snoopme |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_store_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_store_data_resp_err_comp_resp_err_type_dbid_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_store_opcode_comp_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_atomic_store_opcode_dbid_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cachemaintenance_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cachemaintenance_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cachemaintenance_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_comp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_cleansharedpersist_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_read_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_req_dvm_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_req_dvmop_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_req_write_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_d_write_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_mem_attr_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_non_secure_access |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_deallocating_read_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_dvm_opcode_data_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_dvm_opcode_response_resp_err_status |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_cleanunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_coherent_exclusive_readnotshareddirty_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_concurrent_non_overlapping_coherent_xact_snp_xact_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_concurrent_overlapping_coherent_xact_snp_xact_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_copyback_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_copyback_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_evict_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_excl_mon_status_and_excl_access_status_rn_f_node_readnotshareddirty_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_makeunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_read_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_read_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_read_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_read_req_is_dmt_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readclean_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readclean_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_mem_attr_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_non_secure_access |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readnotshareddirty_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readshared_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readshared_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_readunique_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_dynamicpcrd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_copyback_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_dvm_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_dvmop_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_issue_b_read_opcode_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_read_opcode_data_source |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_read_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_read_opcode_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_read_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_readnotshareddirty_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpcleanfwd_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpnotshareddirty_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpnotshareddirtyfwd_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snponcefwd_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpsharedfwd_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpstashshared_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_snpstashunique_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_req_write_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_do_not_go_to_sd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_ret_to_src |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpnotshareddirty_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_chi_b_snp_opcode_do_not_go_to_sd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_chi_b_snp_opcode_ret_to_src |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_chi_b_snp_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_data_source |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_do_not_go_to_sd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_fwd_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_ret_to_src |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpreq_snp_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_data_pull_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_donotdatapull_datapull |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_snpresp_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_snpresp_resp_err_type_datapull_read_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_snprespdata_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_snprespdata_data_resp_err_type_datapull_read_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_stash_lpid_valid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_snpstash_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_write_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_writebackfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_writebackptl_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_writecleanfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_f_writeevictfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_i_read_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_i_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_i_req_write_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_i_write_is_exclusive_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_non_coherent_read_is_exclusive_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_non_coherent_write_is_exclusive_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_pcrdreturn_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_read_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_read_req_is_dmt_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readnosnp_is_exclusive_lpid_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readnosnp_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readnosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readonce_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readonce_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readoncecleaninvalid_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_readoncemakeinvalid_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_atomic_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cache_maintenance_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_cleansharedpersist_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_deallocating_read_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_read_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readnosnp_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readonce_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readoncecleaninvalid_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readoncecleaninvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readoncemakeinvalid_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_readoncemakeinvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_stashonce_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_write_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_writeuniquefullstash_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_req_writeuniqueptlstash_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_stash_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_stash_lpid_valid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_stash_nid_valid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_stash_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_write_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_write_opcode_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_write_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writenosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writenosnpptl_is_exclusive_lpid_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniqueptlstash_opcode_datasize |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniqueptlstash_opcode_writedatacancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniquestash_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniquestash_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniquestash_opcode_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rn_writeuniquestash_opcode_order_expcompack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rsp_flit_chi_b_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rsp_flit_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_rsp_flit_snpresp_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_endian |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_store_opcode_comp_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_atomic_store_opcode_dbid_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cachemaintenance_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cachemaintenance_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_cleansharedpersist_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_f_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_f_req_read_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_atomic_opcode_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_atomic_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_req_cleansharedpersist_opcode_mem_attr |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_req_read_opcode_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_req_write_opcode_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_i_req_write_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_read_req_is_dmt_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cache_maintenance_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cache_maintenance_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cache_maintenance_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cache_maintenance_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cache_maintenance_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cachemaintenance_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cachemaintenance_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cachemaintenance_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cachemaintenance_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cachemaintenance_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cleansharedpersist_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cleansharedpersist_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cleansharedpersist_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cleansharedpersist_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_cleansharedpersist_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_read_opcode_data_source |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_read_opcode_is_exclusive_lpid_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_read_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_b_sn_req_write_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
trans_cross_chi_c_req_writenosnpfull_writedatacancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cachemaintenance_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cachemaintenance_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cachemaintenance_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cleansharedpersist_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cleansharedpersist_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_cleansharedpersist_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_f_req_readclean_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_f_req_readnotshareddirty_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_f_req_readshared_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_f_req_readunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_f_snpstashreq_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_readnosnp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_readonce_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_readoncecleaninvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_rn_readoncemakeinvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_f_req_readnosnpsep_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_i_req_readnosnpsep_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_i_req_readnosnpsep_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_read_opcode_data_source |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_dynamicpcrd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_c_sn_req_readnosnpsep_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
|
trans_cross_chi_d_rn_atomic_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_cachemaintenance_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_cleansharedpersist_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_d_read_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_d_req_nonsync_dvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_deallocating_read_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_f_copyback_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_f_read_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_f_readnotshareddirty_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_f_req_nonsync_dvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_i_read_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_req_cleansharedpersistsep_comp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_rn_write_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cachemaintenance_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersist_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_cleansharedpersistsep_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_f_req_read_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_f_req_readnosnpsep_opcode_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_i_req_cleansharedpersistsep_opcode_mem_attr |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_cleansharedpersistsep_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_cleansharedpersistsep_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_cleansharedpersistsep_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_cleansharedpersistsep_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_cleansharedpersistsep_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_read_opcode_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_readnosnpsep_opcode_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_d_sn_req_write_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
|
trans_cross_chi_e_atomiccompare_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_atomicload_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_atomicstore_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_atomicswap_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_cleansharedpersist_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_cleanunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_comp_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_compack_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_compcmo_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_compdata_dat_flit_opcode_rx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_compdbidresp_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_comppersist_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_compstashdone_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_copybackwrdata_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_datasepresp_dat_flit_opcode_rx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dbidresp_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dbidrespord_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_level_hint_tlbi_tg_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_level_hint_tlbi_ttl_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_range_tlbi_num_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_range_tlbi_scale_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_range_tlbi_tg_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_range_tlbi_ttl_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvm_tlbi_range_cov |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_dvmop_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_evict_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_makeinvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_makereadunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_makeunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_ncbwrdatacompack_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_noncopybackwrdata_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_persist_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_prefetchtgt_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_read_opcode_num_of_data_flits_seen_at_the_same_time |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readclean_cacheinitialstate_cachefinalstate_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readclean_cacheinitialstate_cachefinalstate_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readclean_cacheinitialstate_cachefinalstate_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readclean_cacheinitialstate_cachefinalstate_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readclean_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readnosnp_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readnotshareddirty_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readonce_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readoncecleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readoncemakeinvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readpreferunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readreceipt_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readunique_cacheinitialstate_cachefinalstate_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readunique_cacheinitialstate_cachefinalstate_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readunique_cacheinitialstate_cachefinalstate_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readunique_cacheinitialstate_cachefinalstate_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_readunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomiccompare_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomiccompare_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomiccompare_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomiccompare_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicload_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicload_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicload_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicload_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicstore_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicstore_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicstore_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicstore_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicswap_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicswap_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicswap_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_atomicswap_otherspermitted_cacheinitialstate_cachefinalstate_xact_flow_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_dvm_tlb_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_dvm_vir_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_cleaninvalid_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_cleaninvalid_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_cleanshared_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_cleanshared_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpfull_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_cleaninvalid_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_cleaninvalid_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_cleanshared_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_cleanshared_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writenosnpptl_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniquefull_cleanshared_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniquefull_cleanshared_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniquefull_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniquefull_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniqueptl_cleanshared_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniqueptl_cleanshared_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniqueptl_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_req_writeuniqueptl_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_respsepdata_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_retryack_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_datasize_endian_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_opcode_read_write_data_resp_err_dbid_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_store_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_store_data_resp_err_comp_resp_err_type_dbid_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop_atomic_read_data_tag_op |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop_atomic_read_data_tag_op_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomic_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomiccompare_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomiccompare_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomiccompare_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicload_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicload_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicload_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicstore_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicstore_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicstore_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicswap_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicswap_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_atomicswap_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cachemaintenance_opcode_address_alignment_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cachemaintenance_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cachemaintenance_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cachemaintenance_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cleansharedpersist_opcode_address_alignment_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cleansharedpersist_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cleansharedpersist_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_cleansharedpersist_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_compdbidresp_atomicstore_xact_type_resp_resperr |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_d_read_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_d_read_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_deallocating_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_deallocating_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_deallocating_read_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_deallocating_read_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_deallocating_read_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_chi_a_b_transactions_non_overlapping_chi_e_snppreferunique_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_chi_a_b_transactions_non_overlapping_chi_e_snppreferuniquefwd_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_chi_a_b_transactions_overlapping_chi_e_snppreferunique_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_chi_a_b_transactions_overlapping_chi_e_snppreferuniquefwd_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_cleanunique_opcode_address_alignment_mattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_cleanunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_cleanunique_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_coherent_exclusive_makereadunique_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_coherent_exclusive_makereadunique_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_coherent_exclusive_readpreferunique_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_combined_write_cmo_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_combined_write_cmo_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_combined_write_cmo_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_combined_write_cmo_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop_data_resp_err_type_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_copyback_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_evict_opcode_address_alignment_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_evict_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_evict_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_excl_mon_status_and_excl_access_status_rn_f_node_makereadunique_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_excl_mon_status_and_excl_access_status_rn_f_node_readpreferunique_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_fwd_snoop_transaction_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_fwd_snoop_transaction_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_mem_attr_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_non_secure_access |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_xact_req_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_xact_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makereadunique_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_opcode_address_alignment_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_xact_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_makeunique_xact_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_is_exclusive_lpid_memattributes_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_is_dmt_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop_data_resp_err_type_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_read_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readclean_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readclean_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readclean_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_is_exclusive_lpid_memattributes_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readnotshareddirty_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readonce_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readonce_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_mem_attr_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_non_secure_access |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_req_is_dct_used |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readpreferunique_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readshared_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readshared_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readshared_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readunique_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_readunique_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_copyback_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_copyback_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_copyback_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_makereadunique_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_read_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_read_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readnotshareddirty_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_readpreferunique_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_snppreferunique_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_snppreferuniquefwd_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_snpquery_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writebackfull_cleaninvalid_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writebackfull_cleanshared_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writebackfull_cleansharedpersistsep_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writecleanfull_cleanshared_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writecleanfull_cleansharedpersistsep_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_req_writeevictorevict_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snoop_transaction_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snoop_transaction_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpnotshareddirty_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpnotshareddirty_snp_rsp_datatransfer_rettosrc_cacheinitial_sharedclean_state_cachefinal_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snppreferunique_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snppreferunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snppreferunique_snp_rsp_datatransfer_rettosrc_cacheinitial_sharedclean_state_cachefinal_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_resp_err |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpquery_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpreq_snp_opcode_snp_rsp_datatransfer_rettosrc_cacheinitial_sharedclean_state_cachefinal_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_snpreq_snp_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_compdbidresp_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_data_resp_err_compdbidresp_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_data_resp_err_compdbidresp_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_non_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writebackptl_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_compdbidresp_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_data_resp_err_compdbidresp_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_data_resp_err_compdbidresp_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_non_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writecleanfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictfull_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeevictorevict_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writenosnpzero_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writenosnpzero_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writenosnpzero_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writenosnpzero_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeuniquezero_non_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeuniquezero_non_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeuniquezero_overlapping_fwd_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_f_writeuniquezero_overlapping_snp_xact |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_i_read_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_i_read_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_non_copyback_write_transaction_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_non_copyback_write_transaction_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_noncopyback_write_transaction_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop_data_resp_err_type_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_read_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_readnosnp_is_exclusive_lpid_memattributes_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_readnosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_readnosnp_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_readnosnp_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_readonce_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_cache_maintenance_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_cache_maintenance_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_cachemaintenance_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_cleansharedpersist_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_cleansharedpersist_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_deallocating_read_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_deallocating_read_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_read_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_read_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_write_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_write_opcode_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_write_opcode_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_writeuniquefullstash_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_writeuniquefullstash_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_writeuniqueptlstash_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_req_writeuniqueptlstash_flow_type_for_dbidrespord_resp_with_mem_tagging_enabled |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_slcrephint_unusedprefetch_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_spec_define_slcrephint_unusedprefetch_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_stash_opcode_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_stashonce_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_stashonce_xact_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_tagmatch_write_group_id_ext |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_tagmatch_write_tag_group_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_tagmatch_write_tag_match_flit_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_tagmatch_write_tag_match_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_is_exclusive_lpid_memattributes_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop_data_resp_err_type_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_non_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writenosnpzero_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_non_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeunique_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquestash_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquestash_xact_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_flow_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rn_writeuniquezero_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_rsp_flit_opcode_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomic_opcode_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomic_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomic_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomic_xact_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomic_xact_req_tagop_atomic_read_data_tag_op |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomiccompare_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomicload_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomicstore_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_atomicswap_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cachemaintenance_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleansharedpersist_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleansharedpersist_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleansharedpersistsep_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_comp_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_compcmo_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_compdata_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_compdbidresp_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_comppersist_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_datasepresp_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_dbidresp_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpfull_cleaninvalid_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpfull_cleanshared_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpfull_cleansharedpersistsep_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpptl_cleaninvalid_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpptl_cleanshared_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpptl_cleansharedpersistsep_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_i_writenosnpzero_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_makeinvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_noncopybackwrdata_dat_flit_opcode_rx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_persist_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_read_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_read_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_read_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readnosnp_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readnosnpsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readnosnpsep_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readnosnpsep_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readnosnpsep_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_readreceipt_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_req_read_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_req_readnosnpsep_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_req_write_opcode_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_retryack_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_tagmatch_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_write_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_write_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_write_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writedatacancel_dat_flit_opcode_rx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_non_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnp_persistent_cmo_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpfull_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpfull_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpfull_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpfull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpptl_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpptl_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpptl_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpptl_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_sn_writenosnpzero_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpclean_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpcleanfwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpcleaninvalid_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpcleanshared_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpdvmop_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpmakeinvalid_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpmakeinvalidstash_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpnotshareddirty_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpnotshareddirtyfwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snponce_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snponcefwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snppreferunique_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snppreferuniquefwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpquery_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpresp_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snprespdata_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snprespdatafwded_dat_flit_opcode_rx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snprespdataptl_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snprespfwded_rsp_flit_opcode_tx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpshared_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpsharedfwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpstashshared_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpstashunique_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpunique_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpuniquefwd_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_snpuniquestash_snp_flit_opcode_rx_snoop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashdone_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashoncesep_opcode_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashoncesepshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashoncesepunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashonceshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_stashonceunique_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_tagmatch_rsp_flit_opcode_rx_response_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_write_opcode_num_of_data_flits_seen_at_the_same_time |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackfull_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackfull_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackfull_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackfull_non_persistent_cmo_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackfull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writebackptl_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writecleanfull_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writecleanfull_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writecleanfull_non_persistent_cmo_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writecleanfull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writedatacancel_dat_flit_opcode_tx_data_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeevictfull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeevictorevict_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeevictorevict_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnp_non_persistent_cmo_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpfull_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpfull_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpfull_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpfull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpptl_cleaninvalid_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpptl_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpptl_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpptl_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpzero_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writenosnpzero_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeunique_non_persistent_cmo_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquefull_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquefull_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquefull_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquefullstash_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniqueptl_cleanshared_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniqueptl_cleansharedpersistsep_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniqueptl_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniqueptlstash_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquezero_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_writeuniquezero_req_flit_opcode_req_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_xact_type_hn_idx |
covergroup |
defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_e_xact_type_non_secure_access_order_type_for_dbidrespord_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
|
trans_cross_chi_f_req_dvm_gpt_tlb_operation_dvm_invalidation_size_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_req_dvm_phy_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_req_dvm_tlb_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_req_readoncemakeinvalid_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_atomic_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cachemaintenance_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_response_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleaninvalidpopa_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleansharedpersist_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleansharedpersist_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleansharedpersistsep_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_compdata_data_flit_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_datasepresp_data_flit_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_atomic_xact_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_cleaninvalidpopa_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_cleanunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_cleanunique_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_combined_copyback_write_pcmo_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_combined_non_copyback_write_pcmo_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_copyback_write_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_evict_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_makereadunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_makereadunique_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_makeunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_makeunique_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_non_coherent_read_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_non_coherent_write_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop_data_resp_err_type_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop_data_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_read_req_tagop_data_tagop_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readclean_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnosnp_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnosnp_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnosnp_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readnotshareddirty_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readpreferunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_readunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_write_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_write_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_writebackfull_cleaninvalidpopa_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_req_writebackfull_cleaninvalidpopa_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpclean_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpcleanfwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpcleaninvalid_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpcleanshared_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpmakeinvalidstash_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpnotshareddirty_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpnotshareddirtyfwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snponce_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snponcefwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snppreferunique_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snppreferuniquefwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdata_data_flit_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdata_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdatafwded_data_flit_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdatafwded_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdataptl_data_flit_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snprespdataptl_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpshared_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpsharedfwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpstashshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpstashunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpunique_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpuniquefwd_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpuniquestash_data_flit_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_snpuniquestash_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_write_is_exclusive_lpid_memattributes |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_write_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_write_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_write_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleaninvalidpopa_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleansharedpersistsep_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleansharedpersistsep_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackfull_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackptl_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writebackptl_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleanshared_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleanshared_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleansharedpersistsep_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleansharedpersistsep_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writecleanfull_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeevictfull_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeevictfull_cah_resp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeevictfull_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeevictorevict_cah |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeevictorevict_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_non_persistent_cmo_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnp_opcode_memattr_snpattr_likelyshared_order_ns_retry_cancel_exclusive_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_data_resp_err_deferreable_write_resp_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_data_resp_err_deferreable_write_resp_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_deferreable_write_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpdef_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_memattr_snpattr_likelyshared_order_ns_retry_cancel_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writenosnpzero_response_resp_err_type_memattr_snpattr_likelyshared_order_ns_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeuniquefull_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeuniquefull_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeuniqueptl_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_f_writeuniqueptl_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_makeinvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_prefetchtgt_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_prefetchtgt_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_prefetchtgt_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_read_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readnosnp_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_partial_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_partial_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_partial_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readonce_req_tagop_memattr_snpattr_likelyshared_order_ns_retry_data_size_channel_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_readoncemakeinvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_req_readoncemakeinvalid_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stash_opcode_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stashoncesep_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stashoncesepshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stashoncesepunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stashonceshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_stashonceunique_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_write_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleaninvalidpopa_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpfull_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalid_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_address_alignment_data_size_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_compcmo_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_data_resp_err_response_resp_err_compcmo_resp_err_type_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_slcrephint_replacement |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_slcrephint_unusedprefetch |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_ns_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_memattr_snpattr_likelyshared_order_pas_retry_cancel |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_req_tagop |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleaninvalidpopa_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleanshared_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpptl_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpzero_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writenosnpzero_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniquefull_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniquefullstash_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniqueptl_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniqueptlstash_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniquezero_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_rn_writeuniquezero_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_atomic_xact_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_atomic_xact_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_atomic_xact_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cachemaintenance_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cachemaintenance_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cachemaintenance_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersist_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersist_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersist_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersistsep_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersistsep_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_cleansharedpersistsep_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_f_prefetchtgt_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_f_prefetchtgt_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_f_prefetchtgt_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_req_cleaninvalidpopa_opcode_mem_attr |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_allowretry |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_dbid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_deferreable_write_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_is_retried_with_original_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_mpam_perfmongroup_partid_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_order_lpid |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_pcrdtype |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_trace_tag |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpdef_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpfull_cleaninvalidpopa_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_i_writenosnpptl_cleaninvalidpopa_mem_attr_order_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_read_data_source |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_read_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_read_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_read_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_write_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_write_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_write_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_cleaninvalidpopa_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_cleaninvalidpopa_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_cleaninvalidpopa_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_non_persistent_cmo_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_non_persistent_cmo_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_non_persistent_cmo_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_persistent_cmo_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_persistent_cmo_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnp_persistent_cmo_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnpzero_mpam_perfmongroup_partid_rme_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnpzero_pas |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_f_sn_writenosnpzero_pbha |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
|
trans_cross_chi_hn_idx_outstanding_xact_retry_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_lasm_num_clock_cycles_in_async_input_race_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_cross_chi_lasm_num_clock_cycles_in_banned_output_race_state |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_cross_chi_outstanding_dvm_sync_xact_waiting_for_compack_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_outstanding_snp_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_outstanding_snpdvmop_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_outstanding_xact_type_link_deactivation_and_reactivation |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_cleaninvalid_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_cleaninvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_cleanshared_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_cleanunique_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_cleanunique_otherspermitted_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_evict_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_makeinvalid_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_makeinvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_makeunique_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_makeunique_otherspermitted_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_qos_dynamicpcrd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_readclean_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_readnosnp_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_readonce_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_readshared_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writebackfull_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writebackptl_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writecleanfull_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writeevictfull_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writenosnpfull_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writenosnpfull_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writenosnpptl_cacheinitialstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writeuniquefull_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writeuniquefull_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_req_writeuniqueptl_cacheinitialstate_cachecurrentstate_cachefinalstate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_cachemaintenance_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_cleanshared_comp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_read_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_cachemaintenance_opcode_snp_attr_snoopable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_branch_predictor_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_phy_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_tlb_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvm_vir_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvmop_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvmop_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvmop_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvmop_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_dvmop_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_read_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_snpdvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_req_write_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_d_write_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_cleanunique_opcode_address_alignment_mattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_cleanunique_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_coherent_exclusive_cleanunique_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_coherent_exclusive_read_opcode_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_concurrent_non_overlapping_coherent_xact_snp_xact_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_concurrent_overlapping_coherent_xact_snp_xact_opcode |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_copyback_opcode_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_evict_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_evict_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_excl_mon_status_and_excl_access_status_rn_f_node_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_excl_mon_status_and_excl_access_status_rn_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_makeunique_opcode_address_alignment_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_makeunique_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_non_coherent_read_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_non_coherent_write_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_read_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_read_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_readclean_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_readshared_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_readunique_compdata_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cachemaintenance_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cachemaintenance_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cachemaintenance_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cachemaintenance_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cachemaintenance_opcode_snp_attr_snoopable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_cleanunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_copyback_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_branch_predictor_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_phy_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_tlb_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvm_vir_ins_cache_invalidate_operation_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvmop_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvmop_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvmop_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvmop_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_dvmop_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_evict_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_makeunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_dynamicpcrd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_read_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_readclean_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_readshared_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_readunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_snpclean_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_snpcleanshared_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_snpdvm_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_snponce_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_snpshared_snp_resp_final_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_write_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writebackfull_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writebackfull_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writebackptl_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writebackptl_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writecleanfull_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writecleanfull_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writeevictfull_cache_current_state |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_req_writeevictfull_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpclean_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpclean_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpcleaninvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpcleaninvalid_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpcleanshared_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpcleanshared_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpmakeinvalid_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snponce_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snponce_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpreq_snp_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpreq_snp_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpreq_snpdvm_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpshared_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpshared_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpunique_flow_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_snpunique_snprespdataptl_be |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_f_write_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_read_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_cachemaintenance_opcode_snp_attr_snoopable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_read_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_exp_comp_ack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_req_write_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_i_write_is_exclusive_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_idx_hn_idx |
covergroup |
defined in class svt_chi_system_monitor_def_cov_callback |
|
trans_cross_chi_rn_non_coherent_exclusive_read_opcode_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_non_coherent_exclusive_write_opcode_data_resp_err_response_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_read_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_readnosnp_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cache_maintenance_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_cachemaintenance_opcode_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_data_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_read_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_data_resp_err_response_resp_err_type_memattr_snpattr_likelyshared_order_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_is_retried_with_original_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_is_retried_with_original_rsvdc |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_is_retried_with_original_tgt_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_is_retried_with_original_txn_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_is_tgt_id_remapped |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_req_write_opcode_qos_retryack |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_write_opcode_address_alignment_data_size_memattr_snpattr_likelyshared_order |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_write_opcode_byte_enable_pattern_data_pattern |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_rn_writenosnp_is_exclusive_dynamic_p_crd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_non_coherent_read_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_non_coherent_write_is_exclusive_mem_type_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_dynamicpcrd |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_read_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_f_req_write_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_cachemaintenance_opcode_mem_attr |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_read_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_allocate |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_cacheable |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_device |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_ewa |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_is_exclusive |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_ns |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_i_req_write_opcode_qos |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_non_coherent_exclusive_read_opcode_data_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_read_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_read_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_read_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_read_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_write_opcode_ccid |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_write_opcode_data_id |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_write_opcode_is_likely_shared |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_write_opcode_resp_err_type |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_sn_req_writenosnpptl_opcode_size |
covergroup |
defined in class svt_chi_node_protocol_monitor_def_cov_callback |
|
trans_cross_chi_txsactive_rxsactive_link_active |
covergroup |
defined in class svt_chi_rn_link_monitor_def_cov_callback |
|
trans_cross_chi_xact_type_hn_idx |
covergroup |
defined in class svt_chi_system_monitor_def_cov_callback |
|
trans_dvm_operation_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_exclusive_accesses_pair_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_retry_or_cancel_transaction_sequence |
covergroup |
defined in class svt_chi_scenario_coverage |
|
trans_trans_cross_chi_b_req_readoncecleaninvalid_cacheinitialstate_cachefinalstate_comp_resp |
covergroup |
defined in class svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
|
transaction |
attribute |
attribute type svt_chi_rn_transaction, defined in class svt_chi_rn_exclusive_access_sequence |
|
transaction_completion_id |
attribute |
attribute type protected longint unsigned, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
|
transaction_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
transaction_ended |
function |
function, defined in class svt_chi_rn_protocol,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_protocol_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_xml_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_report_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_auto_read_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link_monitor,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link_monitor_transaction_xml_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_rn_link_monitor_transaction_report_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_ic_rn_link,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_ic_rn_link_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_protocol,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_protocol_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link_monitor,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link_monitor_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link_monitor_transaction_xml_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_sn_link_monitor_transaction_report_callback,
returns type void |
| transaction_ended |
function |
function, defined in class svt_chi_ic_sn_link,
returns type void |
|
transaction_ended_cb_exec |
task |
defined in class svt_chi_ic_rn_link_cb_exec_common |
|
transaction_id |
task arg |
arg type int, defined in task uvm_sequencer_base :: wait_for_item_done |
| transaction_id |
task arg |
arg type int, defined in task uvm_sequence_base :: wait_for_item_done |
| transaction_id |
task arg |
arg type input int, defined in task uvm_sequence_base :: get_base_response |
| transaction_id |
task arg |
arg type input int, defined in task uvm_sequence :: get_response |
|
transaction_inactivity_timeout_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,
returns type void |
|
transaction_scenario_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
transaction_started |
function |
function, defined in class svt_chi_rn_protocol,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_protocol_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_xml_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_system_checker_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_link,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_link_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_link_monitor,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_rn_link_monitor_transaction_xml_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_link,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_link_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_ic_rn_link,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_ic_rn_link_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_protocol,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_protocol_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_link_monitor,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_link_monitor_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_sn_link_monitor_transaction_xml_callback,
returns type void |
| transaction_started |
function |
function, defined in class svt_chi_ic_sn_link,
returns type void |
|
transaction_started_cb_exec |
task |
defined in class svt_chi_ic_rn_link_cb_exec_common |
|
transformer |
function arg |
arg type TRANSFORMER, defined in function uvm_algorithmic_comparator :: new |
|
transition_option |
attribute |
attribute type svt_fsm_state_base :: state_transition_options_enum, defined in class svt_fsm_state_base |
| transition_option |
function arg |
arg type svt_fsm_state_base :: state_transition_options_enum, defined in function svt_fsm_state_base :: set_next_states_transition_option |
|
translate_address |
function |
function, defined in class svt_chi_system_configuration,
returns type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0] |
|
translate_mapped_node_id |
function |
function, defined in class svt_chi_node_configuration,
returns type int |
|
transport |
task |
defined in class uvm_tlm_if_base |
| transport |
task |
defined in class uvm_blocking_transport_imp |
| transport |
task |
defined in class uvm_transport_imp |
| transport |
task |
defined in class uvm_blocking_transport_port |
| transport |
task |
defined in class uvm_transport_port |
| transport |
task |
defined in class uvm_blocking_transport_export |
| transport |
task |
defined in class uvm_transport_export |
| transport |
task |
defined in class uvm_tlm_transport_channel |
|
transport_export |
attribute |
attribute type uvm_transport_imp, defined in class uvm_tlm_transport_channel |
|
traverse |
function |
function, defined in class uvm_phase,
returns type void |
| traverse |
function |
function, defined in class uvm_task_phase,
returns type void |
| traverse |
function |
function, defined in class uvm_bottomup_phase,
returns type void |
| traverse |
function |
function, defined in class uvm_topdown_phase,
returns type void |
|
TREAT_AS_FWD_OR_NON_FWD_TYPE_SNOOP |
enum value |
member of svt_chi_node_configuration :: fwd_type_snoop_treatment_policy_enum |
|
TREAT_AS_FWD_TYPE_SNOOP |
enum value |
member of svt_chi_node_configuration :: fwd_type_snoop_treatment_policy_enum |
|
TREAT_AS_NON_FWD_TYPE_SNOOP |
enum value |
member of svt_chi_node_configuration :: fwd_type_snoop_treatment_policy_enum |
|
trigger |
function |
function, defined in class uvm_event,
returns type void |
| trigger |
attribute |
attribute type event, defined in class m_uvm_waiter |
| trigger |
attribute |
attribute type event, defined in class uvm_tlm_event |
|
trigger_event |
function |
function, defined in class svt_event_pool,
returns type void |
|
truncation |
attribute |
attribute type string, defined in class uvm_printer_knobs |
|
try_get |
function |
function, defined in class uvm_tlm_if_base,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_peek_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_peek_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_master_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_master_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_slave_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_slave_imp,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_peek_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_peek_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_master_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_master_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_slave_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_slave_port,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_get_peek_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_get_peek_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_master_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_master_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_nonblocking_slave_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_slave_export,
returns type bit |
| try_get |
function |
function, defined in class uvm_tlm_fifo_base,
returns type bit |
| try_get |
function |
function, defined in class uvm_tlm_fifo,
returns type bit |
|
try_next_item |
task |
defined in class uvm_sqr_if_base |
| try_next_item |
task |
defined in class uvm_seq_item_pull_port |
| try_next_item |
task |
defined in class uvm_seq_item_pull_export |
| try_next_item |
task |
defined in class uvm_seq_item_pull_imp |
| try_next_item |
task |
defined in class uvm_sequencer |
|
try_peek |
function |
function, defined in class uvm_tlm_if_base,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_peek_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_peek_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_get_peek_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_get_peek_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_master_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_master_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_slave_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_slave_imp,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_peek_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_peek_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_get_peek_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_get_peek_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_master_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_master_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_slave_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_slave_port,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_peek_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_peek_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_get_peek_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_get_peek_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_master_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_master_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_nonblocking_slave_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_slave_export,
returns type bit |
| try_peek |
function |
function, defined in class uvm_tlm_fifo_base,
returns type bit |
| try_peek |
function |
function, defined in class uvm_tlm_fifo,
returns type bit |
|
try_put |
function |
function, defined in class uvm_tlm_if_base,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_put_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_put_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_master_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_master_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_slave_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_slave_imp,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_put_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_put_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_master_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_master_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_slave_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_slave_port,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_put_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_put_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_master_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_master_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_nonblocking_slave_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_slave_export,
returns type bit |
| try_put |
function |
function, defined in class uvm_tlm_fifo_base,
returns type bit |
| try_put |
function |
function, defined in class uvm_tlm_fifo,
returns type bit |
| try_put |
function |
function, defined in class svt_downstream_imp,
returns type bit |
|
ttab |
attribute |
attribute type uvm_queue, defined in class uvm_resource_pool |
|
TU_100_FS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_100_MS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_100_NS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_100_PS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_100_S |
enum value |
member of svt_types :: timeunit_enum |
|
TU_100_US |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_FS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_MS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_NS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_PS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_S |
enum value |
member of svt_types :: timeunit_enum |
|
TU_10_US |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_FS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_MS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_NS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_PS |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_S |
enum value |
member of svt_types :: timeunit_enum |
|
TU_1_US |
enum value |
member of svt_types :: timeunit_enum |
|
TU_UNKNOWN |
enum value |
member of svt_types :: timeunit_enum |
|
turn_off_auditing |
function |
function, defined in class uvm_resource_options,
returns type void |
|
turn_off_tracing |
function |
function, defined in class uvm_resource_db_options,
returns type void |
| turn_off_tracing |
function |
function, defined in class uvm_config_db_options,
returns type void |
|
turn_on_auditing |
function |
function, defined in class uvm_resource_options,
returns type void |
|
turn_on_tracing |
function |
function, defined in class uvm_resource_db_options,
returns type void |
| turn_on_tracing |
function |
function, defined in class uvm_config_db_options,
returns type void |
|
TWO_WAY_ASSOCIATIVE |
enum value |
member of svt_axi_cache :: cache_structure_enum |
|
TWODIM |
enum value |
member of svt_traffic_profile_transaction :: addr_val_type_enum |
|
tx_ccf_wrap_order_enable |
attribute |
attribute type rand svt_chi_node_configuration :: ccf_wrap_order_enum, defined in class svt_chi_node_configuration |
|
tx_ccf_wrap_order_false_dat_flit_order |
attribute |
attribute type rand svt_chi_common_transaction :: tx_ccf_wrap_order_false_dat_flit_order_enum, defined in class svt_chi_common_transaction |
|
tx_ccf_wrap_order_false_dat_flit_order_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
tx_dat_flit_data_id_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
tx_dat_flit_export |
attribute |
attribute type uvm_analysis_imp_tx_dat_flit, defined in class svt_chi_rn_protocol_monitor |
| tx_dat_flit_export |
attribute |
attribute type uvm_analysis_imp_sn_tx_dat_flit, defined in class svt_chi_sn_protocol_monitor |
|
tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_virtual_sequencer |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_virtual_sequencer |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_sn_virtual_sequencer |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_sn_virtual_sequencer |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_agent |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_agent |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_sn_agent |
| tx_dat_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_sn_agent |
|
tx_dat_obj_num |
interface attribute |
defined in interface svt_chi_rn_if, |
| tx_dat_obj_num |
interface attribute |
defined in interface svt_chi_sn_if, |
| tx_dat_obj_num |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| tx_dat_obj_num |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
tx_dat_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor |
| tx_dat_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_sn_link_monitor |
|
tx_dat_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_link |
| tx_dat_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_sn_link |
| tx_dat_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_ic_rn_link |
| tx_dat_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_ic_sn_link |
|
TX_DAT_VC_ADVERTISED_CURR_L_CREDIT_COUNT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_DAT_VC_L_CREDIT_RECEIVED_NEXT_CYCLE_FLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_DAT_VC_L_CREDIT_RECEIVED_SAME_CYCLE_FLITPEND_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_DAT_VC_LCREDIT_RECEIVED_DURING_TX_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_DAT_VC_sample_event |
attribute |
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks |
| TX_DAT_VC_sample_event |
attribute |
attribute type event, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks |
|
tx_dat_vc_sequencer |
attribute |
attribute type svt_sequencer, defined in class svt_chi_rn_protocol |
| tx_dat_vc_sequencer |
attribute |
attribute type svt_sequencer, defined in class svt_chi_sn_protocol |
|
tx_datapull_compack_flitpend_delay |
attribute |
attribute type rand int, defined in class svt_chi_snoop_transaction |
|
tx_datapull_compack_flitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_snoop_transaction |
|
TX_DATLINKFLIT_SEEN_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
tx_flit_delay |
attribute |
attribute type rand int, defined in class svt_chi_flit |
|
tx_flitpend_flitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_flit |
|
tx_illegal_state_transition |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
tx_lcredit_used_same_cycle_which_recieved |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
tx_link_activation_timeout |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
tx_link_active_request_timeout_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
tx_link_deactivation_time |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
tx_link_deactivation_timeout |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
tx_link_deactive_request_timeout_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
tx_link_not_active_during_flit_transfer |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
tx_no_lcredit_for_flit_transfer |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
TX_OBSERVED_DAT_L_CREDITS_DURING_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_OBSERVED_REQ_L_CREDITS_DURING_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_OBSERVED_RSP_L_CREDITS_DURING_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
tx_req_flit_export |
attribute |
attribute type uvm_analysis_imp_tx_req_flit, defined in class svt_chi_rn_protocol_monitor |
|
tx_req_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_virtual_sequencer |
| tx_req_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_sn_virtual_sequencer |
| tx_req_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_agent |
| tx_req_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_sn_agent |
|
tx_req_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor |
|
tx_req_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_link |
| tx_req_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_ic_sn_link |
|
TX_REQ_VC_LCREDIT_RECEIVED_DURING_TX_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_REQ_VC_sample_event |
attribute |
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks |
|
tx_req_vc_sequencer |
attribute |
attribute type svt_sequencer, defined in class svt_chi_rn_protocol |
|
TX_REQLINKFLIT_SEEN_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
tx_rsp_flit_end_time_arr |
function arg |
arg type output real, defined in function svt_chi_common_transaction :: get_tx_rsp_timing_info |
|
tx_rsp_flit_export |
attribute |
attribute type uvm_analysis_imp_tx_rsp_flit, defined in class svt_chi_rn_protocol_monitor |
| tx_rsp_flit_export |
attribute |
attribute type uvm_analysis_imp_sn_tx_rsp_flit, defined in class svt_chi_sn_protocol_monitor |
|
tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_virtual_sequencer |
| tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_virtual_sequencer |
| tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_sn_virtual_sequencer |
| tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_rn_agent |
| tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_agent |
| tx_rsp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_sn_agent |
|
tx_rsp_msg_arr |
function arg |
arg type output svt_chi_common_transaction :: rsp_msg_type_enum, defined in function svt_chi_common_transaction :: get_tx_rsp_timing_info |
|
tx_rsp_msg_type |
function arg |
arg type input svt_chi_common_transaction :: rsp_msg_type_enum, defined in function svt_chi_common_transaction :: get_tx_rsp_timing_info |
|
tx_rsp_obj_num |
interface attribute |
defined in interface svt_chi_rn_if, |
| tx_rsp_obj_num |
interface attribute |
defined in interface svt_chi_sn_if, |
| tx_rsp_obj_num |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| tx_rsp_obj_num |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
tx_rsp_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor |
| tx_rsp_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_sn_link_monitor |
|
tx_rsp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_link |
| tx_rsp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_sn_link |
| tx_rsp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_ic_rn_link |
|
TX_RSP_VC_ADVERTISED_CURR_L_CREDIT_COUNT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_RSP_VC_L_CREDIT_RECEIVED_NEXT_CYCLE_FLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_RSP_VC_L_CREDIT_RECEIVED_SAME_CYCLE_FLITPEND_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_RSP_VC_LCREDIT_RECEIVED_DURING_TX_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TX_RSP_VC_sample_event |
attribute |
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks |
| TX_RSP_VC_sample_event |
attribute |
attribute type event, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks |
|
tx_rsp_vc_sequencer |
attribute |
attribute type svt_sequencer, defined in class svt_chi_rn_protocol |
| tx_rsp_vc_sequencer |
attribute |
attribute type svt_sequencer, defined in class svt_chi_sn_protocol |
|
TX_RSPLINKFLIT_SEEN_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
tx_snp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_virtual_sequencer |
| tx_snp_flit_seqr |
attribute |
attribute type svt_chi_flit_sequencer, defined in class svt_chi_ic_rn_agent |
|
tx_snp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_ic_rn_link |
|
TXACTIVATE_RXACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXACTIVATE_RXDEACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXACTIVATE_RXRUN |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXACTIVATE_RXSTOP |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXDAT_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_LCRD_OBSERVED_IN_TXLA_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_LCRD_OBSERVED_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_LCRD_OBSERVED_IN_TXLA_RUN_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_LCRD_TO_NEXT_TXDAT_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_PROTOCOL_FLIT_OBSERVED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_RETURN_LCRD_TO_NEXT_TXDAT_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_RETURN_LCRD_TO_TXLA_ACK_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDAT_VC_NUM_RETURN_LCREDITS_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDATFLIT |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXDATFLIT |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXDATFLIT |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXDATFLIT |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXDATFLIT_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXDATFLIT_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXDATFLIT_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDATFLITPEND |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXDATFLITPEND |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXDATFLITPEND |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXDATFLITPEND |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
txdatflitpend_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXDATFLITPEND_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXDATFLITPEND_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXDATFLITPEND_VALID |
enum value |
member of svt_chi_common_transaction :: reference_event_for_txdatflitv_delay_enum |
|
TXDATFLITPEND_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXDATFLITV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXDATFLITV |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXDATFLITV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXDATFLITV |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
txdatflitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXDATFLITV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXDATFLITV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXDATLCRDV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXDATLCRDV |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXDATLCRDV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXDATLCRDV |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXDATLCRDV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXDATLCRDV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXDEACTIVATE_RXACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXDEACTIVATE_RXDEACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXDEACTIVATE_RXRUN |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXDEACTIVATE_RXSTOP |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
txh |
function arg |
arg type integer, defined in function uvm_recorder :: m_set_attribute |
| txh |
function arg |
arg type integer, defined in function uvm_recorder :: set_attribute |
|
TXLA_ACK_ASSERTION_TO_RXSNP_FLITV_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_ACK_ASSERTION_TO_TXDAT_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_ACK_ASSERTION_TO_TXREQ_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_ACK_ASSERTION_TO_TXRSP_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_ACK_DEASSERTION_TO_RXSNP_FLITV_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: txla_state_enum |
| txla_activate_state |
attribute |
attribute type svt_fsm_state_base, defined in class svt_chi_link_txla_fsm |
|
TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: txla_state_enum |
| txla_deactivate_state |
attribute |
attribute type svt_fsm_state_base, defined in class svt_chi_link_txla_fsm |
|
txla_req_assertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
txla_req_assertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
TXLA_REQ_ASSERTION_TO_RXSNP_FLITV_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
txla_req_assertion_when_rx_in_activate_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_assertion_when_rx_in_deactivate_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_assertion_when_rx_in_run_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_assertion_when_rx_in_stop_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_deassertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
txla_req_deassertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
TXLA_REQ_DEASSERTION_TO_RXSNP_FLITV_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_REQ_DEASSERTION_TO_TXDAT_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_REQ_DEASSERTION_TO_TXREQ_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_REQ_DEASSERTION_TO_TXRSP_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
txla_req_deassertion_when_rx_in_activate_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_deassertion_when_rx_in_deactivate_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_deassertion_when_rx_in_run_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
txla_req_deassertion_when_rx_in_stop_state_delay |
attribute |
attribute type rand int, defined in class svt_chi_node_configuration |
|
TXLA_REQ_TO_RXLA_REQ_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_REQ_TO_RXLA_REQ_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_REQ_TO_TXLA_ACK_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLA_RUN_STATE |
enum value |
member of svt_chi_link_status :: txla_state_enum |
| txla_run_state |
attribute |
attribute type svt_fsm_state_base, defined in class svt_chi_link_txla_fsm |
|
txla_run_state_time |
attribute |
attribute type realtime, defined in class svt_chi_status |
|
txla_rxla_state |
function arg |
arg type svt_chi_link_status :: txla_rxla_state_enum, defined in function svt_chi_rn_link_monitor_callback :: txla_rxla_state_cb |
| txla_rxla_state |
function arg |
arg type svt_chi_link_status :: txla_rxla_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: txla_rxla_state_cb |
| txla_rxla_state |
function arg |
arg type svt_chi_link_status :: txla_rxla_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: cov_sample_txla_rxla_state |
| txla_rxla_state |
function arg |
arg type svt_chi_link_status :: txla_rxla_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_callback :: cov_sample_txla_rxla_state |
|
txla_rxla_state_cb |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| txla_rxla_state_cb |
function |
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,
returns type void |
|
txla_rxla_state_enum |
enum typedef |
defined in class svt_chi_link_status |
|
txla_state |
function arg |
arg type svt_chi_link_common :: txla_state_enum, defined in function svt_chi_link_txla_fsm :: txla_state_to_fsm_state |
|
txla_state_enum |
enum typedef |
defined in class svt_chi_link_status |
|
txla_state_to_fsm_state |
function |
function, defined in class svt_chi_link_txla_fsm,
returns type svt_fsm_state_base |
|
TXLA_STOP_STATE |
enum value |
member of svt_chi_link_status :: txla_state_enum |
| txla_stop_state |
attribute |
attribute type svt_fsm_state_base, defined in class svt_chi_link_txla_fsm |
|
TXLINKACTIVEACK |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXLINKACTIVEACK |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXLINKACTIVEACK |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXLINKACTIVEACK |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXLINKACTIVEACK_ASSERTED_SAME_CYCLE_TXDATLCRDV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_ASSERTED_SAME_CYCLE_TXREQLCRDV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_ASSERTED_SAME_CYCLE_TXRSPLCRDV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_ASSERTION_TO_RXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_ASSERTION_TO_TXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_DEASSERTION_TO_RXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_DEASSERTION_TO_TXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEACK_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXLINKACTIVEACK_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXLINKACTIVEREQ |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXLINKACTIVEREQ |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXLINKACTIVEREQ |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXLINKACTIVEREQ |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXLINKACTIVEREQ_ASSERTION_FOLLOWED_BY_TXSACTIVE_ASSERTION |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_ASSERTION_TO_RXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_ASSERTION_TO_TXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_TXDATFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_TXREQFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_TXRSPFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_DEASSERTION_TO_RXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_DEASSERTION_TO_TXSACTIVE_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXLINKACTIVEREQ_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXLINKACTIVEREQ_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
txn1_followed_by_txn2_enum |
enum typedef |
defined in class svt_chi_transaction |
|
txn_id |
attribute |
attribute type rand bit [(SVT_CHI_XACT_TXN_ID_WIDTH-1):0], defined in class svt_chi_common_transaction |
| TXN_ID |
enum value |
member of svt_chi_common_transaction :: dbid_policy_enum |
| txn_id |
attribute |
attribute type rand bit [(SVT_CHI_XACT_TXN_ID_WIDTH-1):0], defined in class chi_rn_barrier_directed_sequence |
| txn_id |
attribute |
attribute type rand bit [(SVT_CHI_XACT_TXN_ID_WIDTH-1):0], defined in class chi_rn_directed_noncoherent_xact_sequence |
|
txn_is_non_secure_access |
attribute |
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| txn_is_non_secure_access |
attribute |
attribute type rand bit, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
|
txn_non_secure_ext |
attribute |
attribute type rand bit, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| txn_non_secure_ext |
attribute |
attribute type rand bit, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
|
TXNID_NOT_SAME_AS_PREFETCHTGT |
enum value |
member of svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence :: sel_txnid_type_enum |
| TXNID_NOT_SAME_AS_PREFETCHTGT |
enum value |
member of svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence :: sel_txnid_type_enum |
|
TXNID_SAME_AS_PREFETCHTGT |
enum value |
member of svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence :: sel_txnid_type_enum |
| TXNID_SAME_AS_PREFETCHTGT |
enum value |
member of svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence :: sel_txnid_type_enum |
|
TXREQ_LCRD_OBSERVED_IN_TXLA_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_LCRD_OBSERVED_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_LCRD_OBSERVED_IN_TXLA_RUN_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_LCRD_TO_NEXT_TXREQ_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_RETURN_LCRD_TO_NEXT_TXREQ_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_RETURN_LCRD_TO_TXLA_ACK_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQ_VC_NUM_RETURN_LCREDITS_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQFLIT |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXREQFLIT |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXREQFLIT_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
|
TXREQFLIT_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQFLITPEND |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXREQFLITPEND |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
txreqflitpend_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXREQFLITPEND_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
|
TXREQFLITPEND_VALID |
enum value |
member of svt_chi_common_transaction :: reference_event_for_txreqflitv_delay_enum |
|
TXREQFLITPEND_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXREQFLITV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXREQFLITV |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
txreqflitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXREQFLITV_FOR_COMP_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_comp_flit_delay_enum |
|
TXREQFLITV_FOR_COMPDATA_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_compdata_flit_delay_enum |
|
TXREQFLITV_FOR_COMPDBID_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_compdbid_flit_delay_enum |
|
TXREQFLITV_FOR_COMPPERSIST_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_comppersist_flit_delay_enum |
|
TXREQFLITV_FOR_COMPSTASHDONE_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_compstashdone_flit_delay_enum |
|
TXREQFLITV_FOR_DBID_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_dbid_flit_delay_enum |
|
TXREQFLITV_FOR_DBIDRESPORD_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_dbidrespord_flit_delay_enum |
|
TXREQFLITV_FOR_PERSIST_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_persist_flit_delay_enum |
|
TXREQFLITV_FOR_STASHDONE_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_req_to_stashdone_flit_delay_enum |
|
TXREQFLITV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
|
TXREQLCRDV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXREQLCRDV |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXREQLCRDV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
|
TXRSP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_LCRD_OBSERVED_IN_TXLA_ACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_LCRD_OBSERVED_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_LCRD_OBSERVED_IN_TXLA_RUN_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_LCRD_TO_NEXT_TXRSP_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_PROTOCOL_FLIT_OBSERVED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_RETURN_LCRD_TO_NEXT_TXRSP_RETURN_LCRD_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_RETURN_LCRD_TO_TXLA_ACK_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSP_VC_NUM_RETURN_LCREDITS_IN_TXLA_DEACTIVATE_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSPFLIT |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXRSPFLIT |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXRSPFLIT |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
TXRSPFLIT_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXRSPFLIT_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXRSPFLIT_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSPFLITPEND |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXRSPFLITPEND |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXRSPFLITPEND |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
txrspflitpend_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXRSPFLITPEND_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXRSPFLITPEND_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXRSPFLITPEND_VALID |
enum value |
member of svt_chi_common_transaction :: reference_event_for_txrspflitv_delay_enum |
| TXRSPFLITPEND_VALID |
enum value |
member of svt_chi_snoop_transaction :: reference_event_for_tx_datapull_compack_flitv_delay_enum |
|
TXRSPFLITPEND_X_DURING_RESET |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXRSPFLITV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXRSPFLITV |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXRSPFLITV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
txrspflitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXRSPFLITV_FOR_COMPTODBID_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_comp_to_dbid_flit_delay_enum |
|
TXRSPFLITV_FOR_COMPTODBIDRESPORD_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_comp_to_dbidrespord_flit_delay_enum |
|
TXRSPFLITV_FOR_COMPTOSTASHDONE_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_comp_to_stashdone_flit_delay_enum |
|
TXRSPFLITV_FOR_DBIDRESPORDTOCOMP_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_dbidrespord_to_comp_flit_delay_enum |
|
TXRSPFLITV_FOR_DBIDTOCOMP_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_dbid_to_comp_flit_delay_enum |
|
TXRSPFLITV_FOR_STASHDONETOCOMP_VALID |
enum value |
member of svt_chi_transaction :: reference_event_for_stashdone_to_comp_flit_delay_enum |
|
TXRSPFLITV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXRSPFLITV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXRSPLCRDV |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXRSPLCRDV |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXRSPLCRDV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
TXRSPLCRDV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXRSPLCRDV_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXRUN_RXACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXRUN_RXDEACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXRUN_RXRUN |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXRUN_RXSTOP |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
txsactive |
attribute |
attribute type bit, defined in class svt_chi_status |
| TXSACTIVE |
interface attribute |
defined in interface svt_chi_rn_if, |
| TXSACTIVE |
interface attribute |
defined in interface svt_chi_sn_if, |
| TXSACTIVE |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| TXSACTIVE |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
TXSACTIVE_ASSERTED_LINK_ACTIVATED_REQFLIT_TRANSMITTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_NEXT_CYCLE_REQFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_NEXT_CYCLE_TXDATFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_NEXT_CYCLE_TXRSPFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_SAME_CYCLE_REQFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_SAME_CYCLE_TXDATFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_SAME_CYCLE_TXLINKACTIVEREQ_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTED_SAME_CYCLE_TXRSPFLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_FOLLOWED_BY_TXLINKACTIVEREQ_ASSERTION |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_RXLINKACTIVEACK_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_RXLINKACTIVEACK_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_RXLINKACTIVEREQ_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_RXLINKACTIVEREQ_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_TXLINKACTIVEACK_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_TXLINKACTIVEACK_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_TXLINKACTIVEREQ_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_ASSERTION_TO_TXLINKACTIVEREQ_DEASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_DEASSERTED_WHILE_TRANSMITTING_DAT_LINK_FLIT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_DEASSERTED_WHILE_TRANSMITTING_REQ_LINK_FLIT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_DEASSERTED_WHILE_TRANSMITTING_RSP_LINK_FLIT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
TXSACTIVE_HIGH_RXSACTIVE_HIGH |
enum value |
member of svt_chi_status :: txsactive_rxsactive_enum |
|
TXSACTIVE_HIGH_RXSACTIVE_LOW |
enum value |
member of svt_chi_status :: txsactive_rxsactive_enum |
|
TXSACTIVE_LOW_RXSACTIVE_HIGH |
enum value |
member of svt_chi_status :: txsactive_rxsactive_enum |
|
TXSACTIVE_LOW_RXSACTIVE_LOW |
enum value |
member of svt_chi_status :: txsactive_rxsactive_enum |
|
txsactive_rxsactive |
attribute |
attribute type svt_chi_status :: txsactive_rxsactive_enum, defined in class svt_chi_status |
|
txsactive_rxsactive_cb |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| txsactive_rxsactive_cb |
function |
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,
returns type void |
|
txsactive_rxsactive_enum |
enum typedef |
defined in class svt_chi_status |
|
TXSACTIVE_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
| TXSACTIVE_toggle_cov |
attribute |
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
|
TXSNPFLIT |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
TXSNPFLITPEND |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
TXSNPFLITPEND_VALID |
enum value |
member of svt_chi_common_transaction :: reference_event_for_txsnpflitv_delay_enum |
|
TXSNPFLITV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
txsnpflitv_delay |
attribute |
attribute type rand int, defined in class svt_chi_common_transaction |
|
TXSNPLCRDV |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
TXSTOP_RXACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXSTOP_RXDEACTIVATE |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXSTOP_RXRUN |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
TXSTOP_RXSTOP |
enum value |
member of svt_chi_link_status :: txla_rxla_state_enum |
|
txtype |
function arg |
arg type string, defined in function uvm_recorder :: begin_tr |
|
typ |
attribute |
attribute type string, defined in class svt_pa_object_data |
| typ |
function arg |
arg type string, defined in function svt_pa_object_data :: new |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_sequence_item_base :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_sequence_item_base :: decode_prop_val |
| typ |
function arg |
arg type string, defined in function svt_sequence_item_base :: get_pa_obj_data |
| typ |
function arg |
arg type svt_pattern_data :: type_enum, defined in function svt_sequence_item_base :: add_pattern_prop |
| typ |
function arg |
arg type svt_pattern_data :: type_enum, defined in function svt_sequence_item_base :: add_compound_pattern_prop |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_configuration :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_err_check_stats :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_err_check_stats :: decode_prop_val |
| typ |
function arg |
arg type string, defined in function svt_sequence_item :: get_pa_obj_data |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_sequence_item :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_sequence_item :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_8b10b_data :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_8b10b_data :: decode_prop_val |
| typ |
function arg |
arg type svt_type_factory_override_base, defined in function svt_fsm :: m_create_state |
| typ |
function arg |
arg type svt_type_factory_override_base, defined in function svt_fsm :: create_fsm |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_fifo_rate_control_configuration :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_fifo_rate_control_configuration :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_traffic_profile_transaction :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_traffic_profile_transaction :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_amba_addr_mapper :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_amba_addr_mapper :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_amba_fifo_rate_control_configuration :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_amba_fifo_rate_control_configuration :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_axi_cache :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_axi_cache :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_address_configuration :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_address_configuration :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_protocol_service :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_protocol_service :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_link_service :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_link_service :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_common_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_common_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_base_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_base_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_snoop_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_snoop_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_flit_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_flit_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_rn_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_rn_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_sn_transaction_exception :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_sn_transaction_exception :: decode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_system_monitor_system_data :: encode_prop_val |
| typ |
function arg |
arg type input svt_pattern_data :: type_enum, defined in function svt_chi_system_monitor_system_data :: decode_prop_val |
| typ |
function arg |
arg type string, defined in function svt_chi_system_monitor_system_data :: get_pa_obj_data |
|
TYPE0 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE1 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE10 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE11 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE12 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE13 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE14 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE15 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE2 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE3 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE4 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE5 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE6 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE7 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE8 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
TYPE9 |
enum value |
member of svt_chi_common_transaction :: p_crd_type_enum |
|
type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource_pool :: lookup_name |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource_pool :: get_by_name |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource_pool :: lookup_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource_pool :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource_pool :: lookup_regex_names |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_resource :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_int_rsrc :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_string_rsrc :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_obj_rsrc :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_bit_rsrc :: get_by_type |
| type_handle |
function arg |
arg type uvm_resource_base, defined in function uvm_byte_rsrc :: get_by_type |
|
type_id |
class typedef |
defined in class uvm_recorder |
| type_id |
class typedef |
defined in class uvm_objection |
| type_id |
class typedef |
defined in class uvm_test_done_objection |
| type_id |
class typedef |
defined in class uvm_sequence_item |
| type_id |
class typedef |
defined in class uvm_class_pair |
| type_id |
class typedef |
defined in class uvm_built_in_pair |
| type_id |
class typedef |
defined in class uvm_in_order_comparator |
| type_id |
class typedef |
defined in class uvm_in_order_built_in_comparator |
| type_id |
class typedef |
defined in class uvm_in_order_class_comparator |
| type_id |
class typedef |
defined in class uvm_algorithmic_comparator |
| type_id |
class typedef |
defined in class uvm_random_stimulus |
| type_id |
class typedef |
defined in class uvm_sequencer |
| type_id |
class typedef |
defined in class uvm_sequence_library_cfg |
| type_id |
class typedef |
defined in class uvm_sequence_library |
| type_id |
class typedef |
defined in class uvm_random_sequence |
| type_id |
class typedef |
defined in class uvm_exhaustive_sequence |
| type_id |
class typedef |
defined in class uvm_simple_sequence |
| type_id |
class typedef |
defined in class uvm_tlm_generic_payload |
| type_id |
class typedef |
defined in class uvm_reg_field |
| type_id |
class typedef |
defined in class uvm_vreg_field |
| type_id |
class typedef |
defined in class uvm_reg_item |
| type_id |
class typedef |
defined in class uvm_reg_map |
| type_id |
class typedef |
defined in class uvm_reg_sequence |
| type_id |
class typedef |
defined in class uvm_reg_tlm_adapter |
| type_id |
class typedef |
defined in class uvm_reg_predictor |
| type_id |
class typedef |
defined in class uvm_reg_backdoor |
| type_id |
class typedef |
defined in class uvm_reg_read_only_cbs |
| type_id |
class typedef |
defined in class uvm_reg_write_only_cbs |
| type_id |
class typedef |
defined in class uvm_reg_hw_reset_seq |
| type_id |
class typedef |
defined in class uvm_reg_single_bit_bash_seq |
| type_id |
class typedef |
defined in class uvm_reg_bit_bash_seq |
| type_id |
class typedef |
defined in class uvm_mem_single_walk_seq |
| type_id |
class typedef |
defined in class uvm_mem_walk_seq |
| type_id |
class typedef |
defined in class uvm_mem_single_access_seq |
| type_id |
class typedef |
defined in class uvm_mem_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_single_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_mem_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_shared_access_seq |
| type_id |
class typedef |
defined in class uvm_mem_shared_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_mem_shared_access_seq |
| type_id |
class typedef |
defined in class uvm_reg_mem_built_in_seq |
| type_id |
class typedef |
defined in class uvm_reg_mem_hdl_paths_seq |
| type_id |
class typedef |
defined in class svt_dispatch_sequence |
| type_id |
class typedef |
defined in class svt_fsm_state_base |
| type_id |
class typedef |
defined in class svt_err_catcher |
| type_id |
class typedef |
defined in class svt_traffic_arbiter |
| type_id |
class typedef |
defined in class svt_amba_pv_extension |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_sequencer |
| type_id |
class typedef |
defined in class svt_chi_link_service_sequencer |
| type_id |
class typedef |
defined in class svt_chi_flit_sequencer |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_sequencer |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_sn_transaction_sequencer |
| type_id |
class typedef |
defined in class svt_chi_sn_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_transaction_sequencer |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_snoop_transaction_sequencer |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_snoop_transaction_sequencer |
| type_id |
class typedef |
defined in class svt_chi_ic_snoop_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_virtual_sequencer |
| type_id |
class typedef |
defined in class svt_chi_ic_rn_virtual_sequencer |
| type_id |
class typedef |
defined in class svt_chi_sn_virtual_sequencer |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_virtual_sequencer |
| type_id |
class typedef |
defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_random_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_xact_type_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_null_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_coherent_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_transaction_dvm_sync_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_eobarrier_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_ecbarrier_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_go_noncoherent_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_read_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_exclusive_access_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_write_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_copyback_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_rn_writesoptionaldata_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_cmo_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence |
| type_id |
class typedef |
defined in class chi_rn_barrier_directed_sequence |
| type_id |
class typedef |
defined in class chi_rn_noncoherent_transaction_base_sequence |
| type_id |
class typedef |
defined in class chi_rn_directed_noncoherent_xact_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_atomic_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_makereadunique_type_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_snoop_transaction_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_snoop_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_rn_directed_snoop_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_snoop_transaction_random_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_snoop_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence |
| type_id |
class typedef |
defined in class svt_chi_sn_transaction_memory_sequence |
| type_id |
class typedef |
defined in class svt_chi_sn_transaction_null_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_transaction_memory_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_suspend_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_read_data_interleave_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_random_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_reordering_response_sequence |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_random_sequence |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_coherency_exit_sequence |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_coherency_entry_sequence |
| type_id |
class typedef |
defined in class svt_chi_protocol_service_random_coherency_exit_sequence |
| type_id |
class typedef |
defined in class svt_chi_link_service_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_link_service_random_sequence |
| type_id |
class typedef |
defined in class svt_chi_link_service_deactivate_sequence |
| type_id |
class typedef |
defined in class svt_chi_link_service_activate_sequence |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_read_tag_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_write_tag_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check |
| type_id |
class typedef |
defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target |
| type_id |
class typedef |
defined in class svt_chi_system_virtual_sequencer |
| type_id |
class typedef |
defined in class svt_chi_system_cacheline_invalidation_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_base_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_multi_node_random_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readonce_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readnosnp_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readclean_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readspec_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleanunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_makeunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackfull_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackptl_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_evict_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_combined_write_cmo_base_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpzero_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpfull_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpfull_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpfull_cleaninvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpptl_cleaninvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpptl_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpptl_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniquefull_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniquefull_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniqueptl_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniqueptl_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackfull_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackfull_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackfull_cleaninvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writecleanfull_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writecleanfull_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpdef_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniquezero_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpfull_cleaninvalidpopa_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writenosnpptl_cleaninvalidpopa_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writebackfull_cleaninvalidpopa_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleaninvalidpopa_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleanshared_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_dvm_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_barrier_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_coherent_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class chi_rn_barrier_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_combined_write_cmo_hazard_base_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_noncopyback_write_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_copyback_write_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_standalone_cmo_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_standalone_cmo_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_read_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_read_hazard_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_atomicswap_transaction_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_makereadunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_readpreferunique_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_single_node_writeevictorevict_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snp_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check |
| type_id |
class typedef |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check |
| type_id |
class typedef |
defined in class svt_chi_rn_protocol |
| type_id |
class typedef |
defined in class svt_chi_node_protocol_monitor |
| type_id |
class typedef |
defined in class svt_chi_rn_protocol_monitor |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_persist_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check |
| type_id |
class typedef |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check |
| type_id |
class typedef |
defined in class svt_chi_rn_link |
| type_id |
class typedef |
defined in class svt_chi_rn_link_monitor |
| type_id |
class typedef |
defined in class svt_chi_sn_link |
| type_id |
class typedef |
defined in class svt_chi_ic_rn_link |
| type_id |
class typedef |
defined in class svt_chi_rn_agent |
| type_id |
class typedef |
defined in class svt_chi_tlm_generic_payload_sequencer |
| type_id |
class typedef |
defined in class svt_chi_reg_adapter |
| type_id |
class typedef |
defined in class svt_chi_ic_rn_agent |
| type_id |
class typedef |
defined in class svt_chi_sn_protocol |
| type_id |
class typedef |
defined in class svt_chi_sn_protocol_monitor |
| type_id |
class typedef |
defined in class svt_chi_sn_link_monitor |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_link |
| type_id |
class typedef |
defined in class svt_chi_sn_agent |
| type_id |
class typedef |
defined in class svt_chi_ic_sn_agent |
| type_id |
class typedef |
defined in class svt_chi_system_monitor |
| type_id |
class typedef |
defined in class svt_chi_system_env |
| type_id |
class typedef |
defined in class svt_chi_interconnect |
| type_id |
class typedef |
defined in class svt_chi_interconnect_env |
| type_id |
function arg |
arg type string, defined in function glboal :: uvm_create_random_seed |
|
type_map |
attribute |
attribute type static uvm_typeid_base, defined in class uvm_typeid_base |
|
type_name |
function arg |
arg type string, defined in function uvm_printer :: print_int |
| type_name |
function arg |
arg type string, defined in function uvm_printer :: print_field |
| type_name |
function arg |
arg type string, defined in function uvm_printer :: print_generic |
| type_name |
attribute |
attribute type static const string, defined in class uvm_recorder |
| type_name |
attribute |
attribute type static const string, defined in class uvm_component |
| type_name |
function arg |
arg type string, defined in function uvm_utils :: create_type_by_name |
| type_name |
attribute |
attribute type static const string, defined in class uvm_pool |
| type_name |
attribute |
attribute type static const string, defined in class uvm_object_string_pool |
| type_name |
attribute |
attribute type static const string, defined in class uvm_barrier |
| type_name |
attribute |
attribute type static const string, defined in class uvm_event |
| type_name |
attribute |
attribute type static const string, defined in class uvm_queue |
| type_name |
function arg |
arg type string, defined in function uvm_factory :: find_by_name |
| type_name |
attribute |
attribute type static const string, defined in class uvm_component_registry |
| type_name |
attribute |
attribute type static const string, defined in class uvm_object_registry |
| type_name |
attribute |
attribute type bit, defined in class uvm_printer_knobs |
| type_name |
attribute |
attribute type static string, defined in class uvm_callback |
| type_name |
attribute |
attribute type static const string, defined in class uvm_env |
| type_name |
function arg |
arg type string, defined in function uvm_sequencer_base :: add_sequence |
| type_name |
function arg |
arg type string, defined in function uvm_sequencer_base :: remove_sequence |
| type_name |
function arg |
arg type string, defined in function uvm_sequencer_base :: get_seq_kind |
| type_name |
attribute |
attribute type static const string, defined in class uvm_build_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_connect_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_end_of_elaboration_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_start_of_simulation_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_run_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_extract_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_check_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_report_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_final_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_pre_reset_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reset_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_post_reset_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_pre_configure_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_configure_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_post_configure_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_pre_main_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_main_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_post_main_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_pre_shutdown_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_shutdown_phase |
| type_name |
attribute |
attribute type static const string, defined in class uvm_post_shutdown_phase |
| type_name |
attribute |
attribute type static string, defined in class uvm_sequence_base |
| type_name |
function arg |
arg type string, defined in function uvm_sequence_base :: get_seq_kind |
| type_name |
attribute |
attribute type static const string, defined in class uvm_tlm_fifo |
| type_name |
attribute |
attribute type static const string, defined in class uvm_tlm_analysis_fifo |
| type_name |
attribute |
attribute type static const string, defined in class uvm_tlm_req_rsp_channel |
| type_name |
attribute |
attribute type static const string, defined in class uvm_class_pair |
| type_name |
attribute |
attribute type static const string, defined in class uvm_built_in_pair |
| type_name |
attribute |
attribute type static const string, defined in class uvm_in_order_comparator |
| type_name |
attribute |
attribute type static const string, defined in class uvm_in_order_built_in_comparator |
| type_name |
attribute |
attribute type static const string, defined in class uvm_in_order_class_comparator |
| type_name |
attribute |
attribute type static const string, defined in class uvm_algorithmic_comparator |
| type_name |
attribute |
attribute type static const string, defined in class uvm_random_stimulus |
| type_name |
attribute |
attribute type static const string, defined in class uvm_monitor |
| type_name |
attribute |
attribute type static const string, defined in class uvm_driver |
| type_name |
attribute |
attribute type static const string, defined in class uvm_push_driver |
| type_name |
attribute |
attribute type static const string, defined in class uvm_scoreboard |
| type_name |
attribute |
attribute type static const string, defined in class uvm_agent |
| type_name |
attribute |
attribute type static const string, defined in class uvm_test |
| type_name |
attribute |
attribute type static const string, defined in class uvm_sequence_library_cfg |
| type_name |
attribute |
attribute type static const string, defined in class uvm_sequence_library |
| type_name |
attribute |
attribute type static const string, defined in class uvm_tlm_generic_payload |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_field |
| type_name |
attribute |
attribute type static const string, defined in class uvm_vreg_field |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_item |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_map |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_tlm_adapter |
| type_name |
attribute |
attribute type static string, defined in class uvm_reg_predictor |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_backdoor |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_read_only_cbs |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_write_only_cbs |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_hw_reset_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_single_bit_bash_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_bit_bash_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_mem_single_walk_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_mem_walk_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_mem_single_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_mem_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_single_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_mem_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_shared_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_mem_shared_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_mem_shared_access_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_mem_built_in_seq |
| type_name |
attribute |
attribute type static const string, defined in class uvm_reg_mem_hdl_paths_seq |
| type_name |
function arg |
arg type string, defined in function svt_debug_opts :: is_debug_enabled |
| type_name |
function arg |
arg type string, defined in function svt_debug_opts :: track_messaging |
| type_name |
attribute |
attribute type static const string, defined in class svt_fsm_state_base |
| type_name |
attribute |
attribute type static const string, defined in class svt_err_catcher |
| type_name |
attribute |
attribute type static const string, defined in class svt_traffic_arbiter |
| type_name |
attribute |
attribute type static const string, defined in class svt_amba_pv_extension |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_service_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_flit_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_transaction_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_transaction_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_snoop_transaction_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_snoop_transaction_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_snoop_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_virtual_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_rn_virtual_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_virtual_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_virtual_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_random_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_xact_type_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_null_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_transaction_dvm_sync_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_eobarrier_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_ecbarrier_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_go_noncoherent_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_read_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_exclusive_access_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_write_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_rn_writesoptionaldata_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class chi_rn_barrier_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class chi_rn_noncoherent_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class chi_rn_directed_noncoherent_xact_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_atomic_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_makereadunique_type_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_snoop_transaction_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_snoop_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_directed_snoop_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_snoop_transaction_random_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_snoop_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_transaction_memory_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_transaction_null_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_transaction_memory_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_suspend_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_random_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_reordering_response_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_random_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_coherency_exit_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_coherency_entry_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_protocol_service_random_coherency_exit_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_service_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_service_random_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_service_deactivate_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_service_activate_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_read_tag_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_write_tag_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_virtual_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_cacheline_invalidation_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_base_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_multi_node_random_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_prefetchtgt_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readonce_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readnosnp_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readclean_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readspec_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readnotshareddirty_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleanunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_makeunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackfull_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackptl_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeevictfull_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writecleanfull_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writecleanptl_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_evict_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpfull_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_combined_write_cmo_base_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpzero_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpfull_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpfull_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpfull_cleaninvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpptl_cleaninvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpptl_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpptl_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniquefull_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniquefull_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniqueptl_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniqueptl_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackfull_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackfull_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackfull_cleaninvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writecleanfull_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writecleanfull_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpdef_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpptl_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniquefull_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniquezero_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpfull_cleaninvalidpopa_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writenosnpptl_cleaninvalidpopa_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writebackfull_cleaninvalidpopa_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleaninvalidpopa_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_stashoncesepunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_stashoncesepshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniquefullstash_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_stashonceunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_stashonceshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeuniqueptl_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleanshared_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleansharedpersist_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleaninvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_makeinvalid_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_dvm_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_barrier_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_coherent_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class chi_rn_barrier_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_combined_write_cmo_hazard_base_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_noncopyback_write_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_copyback_write_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_standalone_cmo_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_standalone_cmo_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_read_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_read_hazard_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_atomicswap_transaction_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_makereadunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_readpreferunique_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_single_node_writeevictorevict_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snp_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_protocol |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_node_protocol_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_protocol_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_persist_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_link |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_link_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_link |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_rn_link |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_rn_agent |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_tlm_generic_payload_sequencer |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_reg_adapter |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_rn_agent |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_protocol |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_protocol_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_link_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_link |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_sn_agent |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_ic_sn_agent |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_monitor |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_system_env |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_interconnect |
| type_name |
attribute |
attribute type static const string, defined in class svt_chi_interconnect_env |
|
TYPE_OVERRIDE |
enum value |
member of uvm_resource_types :: override_e |
|
type_var |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_base :: create_item |
|
type_width |
attribute |
attribute type int, defined in class uvm_printer_knobs |
|
typeid_map |
attribute |
attribute type static uvm_callbacks_base, defined in class uvm_typeid_base |
|
typename |
attribute |
attribute type static string, defined in class uvm_typeid_base |
| typename |
function |
function, defined in class svt_multi_sim_utils,
returns type string |