|
s |
function arg |
arg type string, defined in function uvm_scope_stack :: set |
| s |
function arg |
arg type string, defined in function uvm_scope_stack :: down |
| s |
function arg |
arg type string, defined in function uvm_spell_chkr :: check |
| s |
function arg |
arg type string, defined in function uvm_resource_base :: new |
| s |
function arg |
arg type string, defined in function uvm_resource_base :: set_scope |
| s |
function arg |
arg type string, defined in function uvm_resource_base :: match_scope |
| s |
function arg |
arg type string, defined in function uvm_resource_pool :: spell_check |
| s |
function arg |
arg type string, defined in function uvm_int_rsrc :: new |
| s |
function arg |
arg type string, defined in function uvm_string_rsrc :: new |
| s |
function arg |
arg type string, defined in function uvm_obj_rsrc :: new |
| s |
function arg |
arg type string, defined in function uvm_bit_rsrc :: new |
| s |
function arg |
arg type string, defined in function uvm_byte_rsrc :: new |
| s |
function arg |
arg type T2, defined in function uvm_class_pair :: new |
|
s_connection_error_id |
attribute |
attribute type const string, defined in global |
|
s_connection_warning_id |
attribute |
attribute type const string, defined in global |
|
s_inst_name |
attribute |
attribute type string, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks |
| s_inst_name |
attribute |
attribute type string, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks |
|
s_spaces |
attribute |
attribute type const string, defined in global |
|
safe_atoreal |
function |
function, defined in class svt_sequence_item_base,
returns type real |
|
safe_num_exceptions |
function |
function, defined in class svt_exception_list,
returns type int |
|
same_memory_snoop_attributes_for_addr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
same_pbha_value_in_all_snoop_data_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
same_tagop_value_in_all_data_pull_compdata_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
same_tagop_value_in_all_fwded_compdata_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
same_tagop_value_in_all_read_data_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
same_tagop_value_in_all_snoop_data_response_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
same_tagop_value_in_all_write_data_flits_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sample |
function |
function, defined in class uvm_reg,
returns type void |
| sample |
function |
function, defined in class uvm_reg_block,
returns type void |
| sample |
function |
function, defined in class uvm_mem,
returns type void |
|
sample_link_layer_signals |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| sample_link_layer_signals |
function |
function, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks,
returns type void |
| sample_link_layer_signals |
function |
function, defined in class svt_chi_sn_link_monitor_callback,
returns type void |
| sample_link_layer_signals |
function |
function, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks,
returns type void |
|
sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_read_tag_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_write_tag_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snp_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_persist_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check,
returns type void |
| sample_status |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check,
returns type void |
|
sample_values |
function |
function, defined in class uvm_reg,
returns type void |
| sample_values |
function |
function, defined in class uvm_reg_block,
returns type void |
|
sample_xact_properties_after_xact_ended |
function |
function, defined in class svt_chi_system_monitor_callback,
returns type void |
| sample_xact_properties_after_xact_ended |
function |
function, defined in class svt_chi_system_monitor_def_cov_callback,
returns type void |
| sample_xact_properties_after_xact_ended |
function |
function, defined in class svt_chi_system_monitor_issue_e_def_cov_callback,
returns type void |
| sample_xact_properties_after_xact_ended |
function |
function, defined in class svt_chi_system_monitor_def_cov_data_callback,
returns type void |
|
save |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
save_child_refs |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_current_state_to_xml |
function |
function, defined in class svt_fsm,
returns type void |
|
save_mem |
function |
function, defined in class svt_mem,
returns type bit |
|
save_object_begin |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_object_begin_block |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
save_object_data |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_pattern_to_xml |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_prop_vals |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_prop_vals_to_fsdb |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_prop_vals_to_fsdb_internal |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_prop_vals_to_xml |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
| save_prop_vals_to_xml |
function |
function, defined in class svt_sequence_item,
returns type bit |
|
save_ref |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
save_to_xml |
function |
function, defined in class svt_fsm_state_base,
returns type bit |
|
SC |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
scaled |
function arg |
arg type time, defined in function uvm_tlm_time :: get_realtime |
| scaled |
function arg |
arg type time, defined in function uvm_tlm_time :: incr |
| scaled |
function arg |
arg type time, defined in function uvm_tlm_time :: decr |
|
scan_name_match_trace |
attribute |
attribute type bit, defined in class svt_sequence_item_iter |
| scan_name_match_trace |
function arg |
arg type bit, defined in function svt_sequence_item_iter :: new |
| scan_name_match_trace |
function arg |
arg type bit, defined in function svt_sequence_item_iter :: initialize |
|
scenario_cov_data |
attribute |
attribute type protected svt_chi_scenario_coverage, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
|
schedule |
function arg |
arg type uvm_phase, defined in function uvm_domain :: add_uvm_phases |
|
scope |
attribute |
attribute type uvm_scope_stack, defined in class uvm_comparer |
| scope |
attribute |
attribute type uvm_scope_stack, defined in class uvm_packer |
| scope |
attribute |
attribute type uvm_scope_stack, defined in class uvm_recorder |
| scope |
function arg |
arg type string, defined in function uvm_recorder :: create_stream |
| scope |
attribute |
attribute type uvm_scope_stack, defined in class uvm_status_container |
| scope |
function arg |
arg type uvm_object, defined in function uvm_status_container :: m_do_cycle_check |
| scope |
function arg |
arg type string, defined in function uvm_component :: massage_scope |
| scope |
attribute |
attribute type protected string, defined in class uvm_resource_base |
| scope |
attribute |
attribute type string, defined in class get_t |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: push_get_record |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: lookup_name |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: lookup_type |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: lookup_regex_names |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: lookup_regex |
| scope |
function arg |
arg type string, defined in function uvm_resource_pool :: lookup_scope |
| scope |
function arg |
arg type string, defined in function uvm_resource :: new |
| scope |
function arg |
arg type string, defined in function uvm_resource :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_resource :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_int_rsrc :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_int_rsrc :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_string_rsrc :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_string_rsrc :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_obj_rsrc :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_obj_rsrc :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_bit_rsrc :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_bit_rsrc :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_byte_rsrc :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_byte_rsrc :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_resource_db :: get_by_type |
| scope |
function arg |
arg type string, defined in function uvm_resource_db :: get_by_name |
| scope |
function arg |
arg type string, defined in function uvm_resource_db :: set_default |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: m_show_msg |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: set |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: set_anonymous |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: set_override |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: set_override_type |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: set_override_name |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: read_by_name |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: read_by_type |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: write_by_name |
| scope |
function arg |
arg type input string, defined in function uvm_resource_db :: write_by_type |
| scope |
function arg |
arg type string, defined in function uvm_reg :: include_coverage |
| scope |
function arg |
arg type string, defined in function svt_config_int_db :: set |
| scope |
function arg |
arg type string, defined in function svt_config_int_db :: get |
| scope |
function arg |
arg type string, defined in function svt_config_string_db :: set |
| scope |
function arg |
arg type string, defined in function svt_config_string_db :: get |
| scope |
function arg |
arg type string, defined in function svt_config_object_db :: set |
| scope |
function arg |
arg type string, defined in function svt_config_object_db :: set_for_child |
| scope |
function arg |
arg type string, defined in function svt_config_object_db :: get |
| scope |
function arg |
arg type string, defined in function svt_config_object_db :: get_from_parent |
| scope |
function arg |
arg type string, defined in function svt_config_vif_db :: set |
| scope |
function arg |
arg type string, defined in function svt_config_vif_db :: get |
|
scope_name |
function arg |
arg type string, defined in function svt_vip_writer :: add_scope_attribute |
|
scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_int |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_field |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_object |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_object_header |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_string |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_time |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_real |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_generic |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: adjust_name |
| scope_separator |
function arg |
arg type byte, defined in function uvm_printer :: print_array_header |
| scope_separator |
function arg |
arg type byte, defined in function glboal :: uvm_leaf_scope |
|
scramble |
function |
function, defined in class svt_data_converter,
returns type void |
| scramble |
function |
function, defined in class svt_sequence_item,
returns type void |
|
scratch1 |
attribute |
attribute type string, defined in class uvm_status_container |
|
scratch2 |
attribute |
attribute type string, defined in class uvm_status_container |
|
SD |
enum value |
member of svt_chi_common_transaction :: cache_state_enum |
|
sec |
function arg |
arg type svt_amba_pv :: dvm_security_t, defined in function svt_amba_pv_extension :: set_dvm_security |
|
second |
attribute |
attribute type T2, defined in class uvm_class_pair |
| second |
attribute |
attribute type T2, defined in class uvm_built_in_pair |
|
secs |
function arg |
arg type real, defined in function uvm_tlm_time :: get_realtime |
| secs |
function arg |
arg type real, defined in function uvm_tlm_time :: incr |
| secs |
function arg |
arg type real, defined in function uvm_tlm_time :: decr |
| secs |
function arg |
arg type real, defined in function uvm_tlm_time :: get_abstime |
| secs |
function arg |
arg type real, defined in function uvm_tlm_time :: set_abstime |
|
SECURE_ACCESS |
enum value |
member of svt_amba_addr_mapper :: security_type_enum |
|
SECURE_NONSECURE_ACCESS |
enum value |
member of svt_amba_addr_mapper :: security_type_enum |
|
security_bits |
function arg |
arg type bit [SVT_CHI_TAG_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: compute_tagged_addr_bit_based_on_non_secure_attribute |
|
security_type |
attribute |
attribute type svt_amba_addr_mapper :: security_type_enum, defined in class svt_amba_addr_mapper |
|
security_type_enum |
enum typedef |
defined in class svt_amba_addr_mapper |
|
seed |
function arg |
arg type int unsigned, defined in function glboal :: uvm_oneway_hash |
|
seed_table |
attribute |
attribute type int unsigned, defined in class uvm_seed_map |
|
sel_data_flit |
attribute |
attribute type seq_sel_data_flit_sent_enum, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
sel_data_flit_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
sel_initiating_rn_node_index_0 |
attribute |
attribute type int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
sel_txnid_type |
attribute |
attribute type rand svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence :: sel_txnid_type_enum, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
| sel_txnid_type |
attribute |
attribute type rand svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence :: sel_txnid_type_enum, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
|
sel_txnid_type_enum |
enum typedef |
defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
| sel_txnid_type_enum |
enum typedef |
defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
|
sel_txnid_type_satus |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
| sel_txnid_type_satus |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
|
select_atomic_xact_type_config_db_status |
attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
|
select_hn_type |
attribute |
attribute type svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_hn_type_enum, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_hn_type_enum |
enum typedef |
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_hn_type_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_mode |
attribute |
attribute type svt_chi_ic_dvm_snoop_transaction_random_sequence :: select_mode_enum, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence |
| select_mode |
attribute |
attribute type svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_mode_enum |
enum typedef |
defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence |
| select_mode_enum |
enum typedef |
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_mode_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_rand |
attribute |
attribute type rand int unsigned, defined in class uvm_sequence_library |
|
select_randc |
attribute |
attribute type randc bit [15:0] , defined in class uvm_sequence_library |
|
select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_d_node |
attribute |
attribute type int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_d_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_f_node |
attribute |
attribute type int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_f_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_i_node |
attribute |
attribute type int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
| select_rn_i_node_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
select_sequence |
function |
function, defined in class uvm_sequence_library,
returns type unsigned int |
| select_sequence |
function |
function, defined in class svt_sequence_library,
returns type unsigned int |
|
select_sequence_counter |
attribute |
attribute type int unsigned, defined in class svt_sequence_library |
|
select_transaction_type |
attribute |
attribute type svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_transaction_type_enum, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
| select_transaction_type |
task arg |
arg type svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_transaction_type_enum, defined in task svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: check_exclusive_sequence_validity |
|
select_transaction_type_enum |
enum typedef |
defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
select_transaction_type_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
|
selected |
attribute |
attribute type bit, defined in class uvm_factory_override |
|
selected_atomic_xact_type |
attribute |
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
| selected_atomic_xact_type |
attribute |
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence |
|
selected_atomic_xact_type_config_db |
attribute |
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
|
selection_mode |
attribute |
attribute type uvm_sequence_lib_mode, defined in class uvm_sequence_library_cfg |
| selection_mode |
attribute |
attribute type uvm_sequence_lib_mode, defined in class uvm_sequence_library |
|
send_barrier_xact |
task |
defined in class svt_chi_system_barrier_sequence |
|
send_blocking_transactions |
attribute |
attribute type rand bit, defined in class svt_chi_system_coherent_virtual_sequence |
|
send_cleanunqiue_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_coherent_transactions |
task |
defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
|
send_coherent_transactions_from_rn |
task |
defined in class svt_chi_system_coherent_virtual_sequence |
|
send_directed_coherent_transactions |
task |
defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
|
send_dvm_sequence |
task |
defined in class svt_chi_system_single_node_dvm_virtual_sequence |
|
send_forever |
task |
defined in class svt_dispatch_sequence |
|
send_init_xacts_from_other_rn_f_nodes |
attribute |
attribute type bit, defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_invalidate_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_makeunique_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_noncoherent_transaction |
task |
defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
|
send_one |
task |
defined in class svt_dispatch_sequence |
|
send_post_barrier_load_xact |
task |
defined in class svt_chi_system_barrier_sequence |
|
send_post_barrier_store |
task |
defined in class svt_chi_system_barrier_sequence |
|
send_pre_parrier_store_xact |
task |
defined in class svt_chi_system_barrier_sequence |
|
send_rand_readnotshareddirty_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_rand_readshared_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_random_transaction |
task |
defined in class svt_chi_rn_transaction_random_sequence |
| send_random_transaction |
task |
defined in class svt_chi_rn_transaction_xact_type_sequence |
| send_random_transaction |
task |
defined in class svt_chi_rn_transaction_dvm_write_semantic_sequence |
| send_random_transaction |
task |
defined in class svt_chi_rn_transaction_dvm_sync_sequence |
|
send_readnotshareddirty_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_request |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| send_request |
function |
function, defined in class uvm_sequence_base,
returns type void |
| send_request |
function |
function, defined in class uvm_sequencer_param_base,
returns type void |
| send_request |
function |
function, defined in class uvm_sequence,
returns type void |
|
send_rsp |
task |
defined in class svt_reactive_sequencer |
| send_rsp |
task |
defined in class svt_reactive_sequence |
|
send_service_request |
task |
defined in class svt_chi_link_service_base_sequence |
|
send_snprespdataptl_for_data_transfer |
attribute |
attribute type rand bit, defined in class svt_chi_snoop_transaction |
|
send_traffic_transaction |
task |
defined in class svt_traffic_arbiter |
|
send_writeback_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_writeclean_xact |
task |
defined in class svt_chi_system_cacheline_initialization_virtual_sequence |
|
send_xact |
task |
defined in class svt_dispatch |
|
sep |
function arg |
arg type byte, defined in function glboal :: uvm_split_string |
|
sep_rd_data_sep_rsp_enable |
function arg |
arg type bit, defined in function svt_chi_system_configuration :: set_hn_sep_rd_data_sep_rsp_enable |
|
separate_dbidresp_and_comp_must_include_same_dbid_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
separator |
function arg |
arg type byte, defined in function uvm_scope_stack :: up |
| separator |
attribute |
attribute type string, defined in class uvm_printer_knobs |
| separator |
function arg |
arg type input string, defined in function uvm_reg :: get_full_hdl_path |
| separator |
function arg |
arg type input string, defined in function uvm_reg_file :: get_full_hdl_path |
| separator |
function arg |
arg type string, defined in function uvm_reg_block :: get_full_hdl_path |
| separator |
function arg |
arg type input string, defined in function uvm_mem :: get_full_hdl_path |
|
seq |
function arg |
arg type uvm_sequence_item, defined in function svt_sequencer :: find_first_agent |
| seq |
task arg |
arg type input uvm_sequence_base, defined in task svt_reactive_sequencer :: wait_for_req |
| seq |
task arg |
arg type input uvm_sequence_base, defined in task svt_reactive_sequencer :: send_rsp |
| seq |
task arg |
arg type svt_chi_rn_coherent_transaction_base_sequence, defined in task svt_chi_system_coherent_virtual_sequence :: set_transaction_type_wt |
|
seq_allow_act_in_tx_stop_rx_deact |
attribute |
attribute type bit, defined in class svt_chi_link_service_deactivate_sequence |
| seq_allow_act_in_tx_stop_rx_deact |
attribute |
attribute type bit, defined in class svt_chi_link_service_activate_sequence |
|
seq_allow_deact_in_tx_run_rx_act |
attribute |
attribute type bit, defined in class svt_chi_link_service_deactivate_sequence |
| seq_allow_deact_in_tx_run_rx_act |
attribute |
attribute type bit, defined in class svt_chi_link_service_activate_sequence |
|
SEQ_ARB_FIFO |
enum value |
member of global items uvm_sequencer_arb_mode |
|
SEQ_ARB_RANDOM |
enum value |
member of global items uvm_sequencer_arb_mode |
|
SEQ_ARB_STRICT_FIFO |
enum value |
member of global items uvm_sequencer_arb_mode |
|
SEQ_ARB_STRICT_RANDOM |
enum value |
member of global items uvm_sequencer_arb_mode |
|
SEQ_ARB_USER |
enum value |
member of global items uvm_sequencer_arb_mode |
|
SEQ_ARB_WEIGHTED |
enum value |
member of global items uvm_sequencer_arb_mode |
|
seq_data_size |
attribute |
attribute type rand svt_chi_common_transaction :: data_size_enum, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| seq_data_size |
attribute |
attribute type rand bit [2:0] , defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_exp_comp_ack |
attribute |
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_is_exclusive |
attribute |
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_is_non_secure_access |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_is_normal_store |
attribute |
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_item_export |
attribute |
attribute type uvm_seq_item_pull_imp, defined in class uvm_sequencer |
|
seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class uvm_driver |
|
seq_item_prod_if |
attribute |
attribute type uvm_seq_item_pull_port, defined in class uvm_driver |
|
seq_kind |
attribute |
attribute type rand protected int, defined in class uvm_sequencer_base |
| seq_kind |
attribute |
attribute type rand int unsigned, defined in class uvm_sequence_base |
|
seq_len_status |
attribute |
attribute type bit, defined in class svt_chi_link_service_base_sequence |
|
seq_lpid |
attribute |
attribute type rand bit [(SVT_CHI_LPID_WIDTH-1):0], defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_mem_attr_allocate_hint |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_mem_attr_is_cacheable |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_mem_attr_is_early_wr_ack_allowed |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_mem_attr_mem_type |
attribute |
attribute type rand svt_chi_common_transaction :: mem_attr_mem_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_name |
function arg |
arg type string, defined in function uvm_sequence_base :: get_sequence_by_name |
| seq_name |
task arg |
arg type string, defined in task uvm_sequence_base :: create_and_start_sequence_by_name |
|
seq_non_secure_ext |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_order_type |
attribute |
attribute type rand svt_chi_common_transaction :: order_type_enum, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| seq_order_type |
attribute |
attribute type rand svt_chi_common_transaction :: order_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
| seq_order_type |
attribute |
attribute type rand svt_chi_common_transaction :: order_type_enum, defined in class chi_rn_directed_noncoherent_xact_sequence |
|
seq_p_crd_return_on_retry_ack |
attribute |
attribute type rand bit, defined in class svt_chi_rn_coherent_transaction_base_sequence |
|
seq_parent_e |
enum typedef |
defined in class uvm_reg_sequence |
|
seq_q_entry |
function arg |
arg type uvm_sequence_request, defined in function uvm_sequencer_base :: m_get_seq_item_priority |
|
seq_req_order_stream_id |
attribute |
attribute type rand int, defined in class chi_rn_noncoherent_transaction_base_sequence |
| seq_req_order_stream_id |
attribute |
attribute type rand int, defined in class chi_rn_directed_noncoherent_xact_sequence |
|
seq_req_t |
enum typedef |
defined in class uvm_sequencer_base |
|
seq_snp_attr_is_snoopable |
attribute |
attribute type rand bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_snp_attr_snp_domain_type |
attribute |
attribute type rand svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_suspend_comp_ack |
attribute |
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence |
| seq_suspend_comp_ack |
attribute |
attribute type bit, defined in class svt_chi_e_rn_writesoptionaldata_type_transaction_directed_sequence |
|
seq_suspend_wr_data |
attribute |
attribute type bit, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: add_typewide_sequence |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: add_sequence |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: remove_sequence |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: m_static_check |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: m_check |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: m_dyn_check |
| seq_type |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: m_add_typewide_sequence |
|
SEQ_TYPE_GRAB |
enum value |
member of uvm_sequencer_base :: seq_req_t |
|
SEQ_TYPE_LOCK |
enum value |
member of uvm_sequencer_base :: seq_req_t |
|
SEQ_TYPE_REQ |
enum value |
member of uvm_sequencer_base :: seq_req_t |
|
seq_types |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: add_typewide_sequences |
| seq_types |
function arg |
arg type uvm_object_wrapper, defined in function uvm_sequence_library :: add_sequences |
| seq_types |
function arg |
arg type ref uvm_object_wrapper, defined in function uvm_sequence_library :: get_sequences |
|
seq_xact |
attribute |
attribute type svt_chi_rn_transaction, defined in class chi_rn_directed_noncoherent_xact_sequence |
|
seq_xact_type_enum |
enum typedef |
defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_xact_type_excl |
attribute |
attribute type rand svt_chi_rn_exclusive_access_sequence :: seq_xact_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_xact_type_read |
attribute |
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
|
seq_xact_type_write |
attribute |
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_rn_exclusive_access_sequence |
|
seqr |
task arg |
arg type uvm_sequencer, defined in task svt_dispatch_sequence :: dispatch |
| seqr |
attribute |
attribute type svt_sequencer, defined in class svt_dispatch |
|
seqr_full_name |
attribute |
attribute type string, defined in class svt_fifo_rate_control_configuration |
| seqr_full_name |
attribute |
attribute type string, defined in class svt_traffic_profile_transaction |
|
seqr_name |
attribute |
attribute type string, defined in class svt_traffic_profile_transaction |
|
seqs_distrib |
attribute |
attribute type protected int, defined in class uvm_sequence_library |
|
sequence_count |
attribute |
attribute type rand int unsigned, defined in class uvm_sequence_library |
|
sequence_id |
function arg |
arg type int, defined in function uvm_sequencer_base :: m_unregister_sequence |
| sequence_id |
function arg |
arg type int, defined in function uvm_sequencer_base :: m_find_sequence |
| sequence_id |
function arg |
arg type int, defined in function uvm_sequence_base :: m_set_sqr_sequence_id |
| sequence_id |
attribute |
attribute type int, defined in class uvm_sequence_request |
|
sequence_ids |
attribute |
attribute type protected int, defined in class uvm_sequencer_base |
|
sequence_index |
task arg |
arg type input int, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact |
| sequence_index |
task arg |
arg type input int, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact |
| sequence_index |
task arg |
arg type input int, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact |
|
sequence_item_requested |
attribute |
attribute type bit, defined in class uvm_sequencer |
|
sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_transaction_base_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_transaction_random_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_read_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_exclusive_access_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_write_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_copyback_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_cmo_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class chi_rn_barrier_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class chi_rn_directed_noncoherent_xact_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_atomic_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_prefetchtgt_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_rn_makereadunique_type_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_ic_snoop_transaction_random_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_ic_snoop_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_protocol_service_base_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_protocol_service_random_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_link_service_base_sequence |
| sequence_length |
attribute |
attribute type int unsigned, defined in class svt_chi_system_base_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class chi_rn_barrier_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_noncopyback_write_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_copyback_write_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_standalone_cmo_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_standalone_cmo_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_read_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_read_hazard_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence |
| sequence_length |
attribute |
attribute type rand int unsigned, defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence |
|
sequence_length_status |
attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
|
sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: is_blocked |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: has_lock |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: unlock |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: ungrab |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: send_request |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: m_unlock_req |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: m_sequence_exiting |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: kill_sequence |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_base :: m_register_sequence |
| sequence_ptr |
task arg |
arg type uvm_sequence_base, defined in task uvm_sequencer_base :: wait_for_grant |
| sequence_ptr |
task arg |
arg type uvm_sequence_base, defined in task uvm_sequencer_base :: wait_for_item_done |
| sequence_ptr |
task arg |
arg type uvm_sequence_base, defined in task uvm_sequencer_base :: lock |
| sequence_ptr |
task arg |
arg type uvm_sequence_base, defined in task uvm_sequencer_base :: grab |
| sequence_ptr |
attribute |
attribute type uvm_sequence_base, defined in class uvm_sequence_request |
| sequence_ptr |
function arg |
arg type uvm_sequence_base, defined in function uvm_sequencer_param_base :: send_request |
|
sequencer |
function arg |
arg type uvm_sequencer_base, defined in function uvm_sequence_base :: unlock |
| sequencer |
function arg |
arg type uvm_sequencer_base, defined in function uvm_sequence_base :: ungrab |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_sequence_base :: start |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_sequence_base :: lock |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_sequence_base :: grab |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_sequence_base :: start_item |
| sequencer |
function arg |
arg type uvm_sequencer_base, defined in function uvm_sequence_item :: set_item_context |
| sequencer |
function arg |
arg type uvm_sequencer_base, defined in function uvm_sequence_item :: set_sequencer |
| sequencer |
function arg |
arg type uvm_sequencer_base, defined in function uvm_reg_map :: set_sequencer |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_reg_map :: do_bus_write |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task uvm_reg_map :: do_bus_read |
| sequencer |
attribute |
attribute type uvm_sequencer_base, defined in class uvm_reg_frontdoor |
| sequencer |
task arg |
arg type uvm_sequencer_base, defined in task svt_reactive_sequence :: start_item |
|
sequencer_full_name |
task arg |
arg type string, defined in task svt_traffic_arbiter :: get_resource_profiles_of_sequencer |
| sequencer_full_name |
task arg |
arg type string, defined in task svt_traffic_arbiter :: get_traffic_profiles_of_sequencer |
|
sequencer_id |
function arg |
arg type int, defined in function uvm_sequence_base :: m_get_sqr_sequence_id |
| sequencer_id |
function arg |
arg type int, defined in function uvm_sequence_base :: m_set_sqr_sequence_id |
|
sequencer_name |
function arg |
arg type output string, defined in function svt_traffic_arbiter :: get_sequencer |
| sequencer_name |
function arg |
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_input_event |
| sequencer_name |
function arg |
arg type output string, defined in function svt_traffic_arbiter :: get_synchronization_spec_output_event |
| sequencer_name |
task arg |
arg type string, defined in task svt_traffic_arbiter :: get_resource_profiles_of_sequencer |
| sequencer_name |
task arg |
arg type string, defined in task svt_traffic_arbiter :: get_traffic_profiles_of_sequencer |
|
sequencer_ptr |
attribute |
attribute type uvm_sequencer_base, defined in class uvm_sequencer_analysis_fifo |
|
sequencer_sequence_lib |
function arg |
arg type ref string, defined in function uvm_sequencer_base :: set_sequences_queue |
|
sequencer_t |
class typedef |
defined in class uvm_sequence |
|
sequences |
attribute |
attribute type string, defined in class uvm_sequencer_base |
| sequences |
attribute |
attribute type protected uvm_object_wrapper, defined in class uvm_sequence_library |
|
sequences_executed |
attribute |
attribute type protected int unsigned, defined in class uvm_sequence_library |
|
SEQUENTIAL |
enum value |
member of svt_traffic_profile_transaction :: addr_val_type_enum |
|
SEQUENTIAL_NONOVERLAPPED_ADDRESS |
enum value |
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum |
|
SEQUENTIAL_OVERLAPPED_ADDRESS |
enum value |
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum |
|
server |
function arg |
arg type uvm_report_server, defined in function uvm_report_server :: set_server |
| server |
function arg |
arg type input uvm_report_server, defined in function uvm_report_catcher :: process_all_report_catchers |
| server |
function arg |
arg type uvm_report_server, defined in function uvm_report_global_server :: set_server |
|
service_type |
attribute |
attribute type rand svt_chi_protocol_service :: service_type_enum, defined in class svt_chi_protocol_service |
| service_type |
attribute |
attribute type rand svt_chi_link_service :: service_type_enum, defined in class svt_chi_link_service |
|
service_type_enum |
enum typedef |
defined in class svt_chi_protocol_service |
| service_type_enum |
enum typedef |
defined in class svt_chi_link_service |
|
set |
function |
function, defined in class uvm_scope_stack,
returns type void |
| set |
function |
function, defined in class uvm_copy_map,
returns type void |
| set |
function |
function, defined in class uvm_resource_pool,
returns type void |
| set |
function |
function, defined in class uvm_resource,
returns type void |
| set |
function |
function, defined in class uvm_resource_db,
returns type void |
| set |
function |
function, defined in class uvm_config_db,
returns type void |
| set |
function |
function, defined in class uvm_reg_field,
returns type void |
| set |
function |
function, defined in class uvm_reg,
returns type void |
| set |
function |
function, defined in class uvm_reg_indirect_data,
returns type void |
| set |
function |
function, defined in class uvm_hdl_path_concat,
returns type void |
| set |
function |
function, defined in class uvm_reg_fifo,
returns type void |
| set |
function |
function, defined in class svt_config_int_db,
returns type void |
| set |
function |
function, defined in class svt_config_string_db,
returns type void |
| set |
function |
function, defined in class svt_config_object_db,
returns type void |
| set |
function |
function, defined in class svt_config_vif_db,
returns type void |
|
set_abstime |
function |
function, defined in class uvm_tlm_time,
returns type void |
|
set_access |
function |
function, defined in class uvm_reg_field,
returns type string |
|
set_action |
function |
function, defined in class uvm_report_catcher,
returns type void |
|
set_addr_for_domain |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_addr_for_domain |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_addr_range |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_address |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_age |
function |
function, defined in class svt_axi_cache_line,
returns type void |
|
set_anonymous |
function |
function, defined in class uvm_resource_db,
returns type void |
|
set_arbitration |
function |
function, defined in class uvm_sequencer_base,
returns type void |
|
set_arg |
function |
function, defined in class uvm_scope_stack,
returns type void |
|
set_arg_element |
function |
function, defined in class uvm_scope_stack,
returns type void |
|
set_associated_axi_slave_xact |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
set_associated_sn_xact |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
set_associative_cache_set_index |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_associative_cache_tag |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_associative_cache_way_number |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_attribute |
function |
function, defined in class uvm_recorder,
returns type void |
|
set_auto_predict |
function |
function, defined in class uvm_reg_map,
returns type void |
|
set_auto_reset |
function |
function, defined in class uvm_barrier,
returns type void |
|
set_backdoor |
function |
function, defined in class uvm_reg,
returns type void |
| set_backdoor |
function |
function, defined in class uvm_reg_block,
returns type void |
| set_backdoor |
function |
function, defined in class uvm_mem,
returns type void |
|
set_bar |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_base_addr |
function |
function, defined in class uvm_reg_map,
returns type void |
|
set_bufferable |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_burst |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_byte_enable |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_byte_enable_length |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_cache_type |
function |
function, defined in class svt_axi_cache_line,
returns type void |
| set_cache_type |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_cacheable |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_cah |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_cfg |
function |
function, defined in class svt_chi_node_pmu,
returns type void |
|
set_check_on_read |
function |
function, defined in class uvm_reg_map,
returns type void |
|
set_checks_cov_fail |
function |
function, defined in class svt_err_check,
returns type void |
|
set_checks_cov_pass |
function |
function, defined in class svt_err_check,
returns type void |
|
set_combined_responses_order |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
set_command |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_common |
function |
function, defined in class svt_chi_node_pmu,
returns type void |
|
set_comp_comparer |
function |
function, defined in class svt_sequence_item,
returns type void |
|
set_compare |
function |
function, defined in class uvm_reg_field,
returns type void |
| set_compare |
function |
function, defined in class uvm_reg_fifo,
returns type void |
|
set_component_reporter |
function |
function, defined in class svt_err_check,
returns type void |
|
set_config_int |
function |
function, defined in class uvm_component,
returns type void |
| set_config_int |
function |
function, defined in global,
returns type void |
|
set_config_object |
function |
function, defined in class uvm_component,
returns type void |
| set_config_object |
function |
function, defined in global,
returns type void |
|
set_config_string |
function |
function, defined in class uvm_component,
returns type void |
| set_config_string |
function |
function, defined in global,
returns type void |
|
set_constraint_weights |
function |
function, defined in class svt_exception,
returns type void |
| set_constraint_weights |
function |
function, defined in class svt_exception_list,
returns type void |
|
set_coverage |
function |
function, defined in class uvm_reg,
returns type uvm_reg_cvr_t |
| set_coverage |
function |
function, defined in class uvm_reg_block,
returns type uvm_reg_cvr_t |
| set_coverage |
function |
function, defined in class uvm_mem,
returns type uvm_reg_cvr_t |
|
set_crc_initial_value |
function |
function, defined in class svt_data_converter,
returns type void |
| set_crc_initial_value |
function |
function, defined in class svt_sequence_item,
returns type void |
|
set_crc_polynomial |
function |
function, defined in class svt_data_converter,
returns type void |
| set_crc_polynomial |
function |
function, defined in class svt_sequence_item,
returns type void |
|
set_curr_perf_config |
function arg |
arg type bit, defined in function svt_chi_node_pmu :: set_cfg |
|
set_custom_relation |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_custom_relations |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_data |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_data_check |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_data_check_passed |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_data_converter |
function |
function, defined in class svt_sequence_item,
returns type void |
|
set_data_length |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_decerr |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_default |
function |
function, defined in class uvm_resource_db,
returns type rsrc_t |
|
set_default_fail_effect |
function |
function, defined in class svt_err_check_stats,
returns type void |
|
set_default_fail_effects |
function |
function, defined in class svt_err_check,
returns type int |
|
set_default_file |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_default_hdl_path |
function |
function, defined in class uvm_reg_file,
returns type void |
| set_default_hdl_path |
function |
function, defined in class uvm_reg_block,
returns type void |
|
set_default_index |
function |
function, defined in class uvm_port_base,
returns type void |
|
set_default_map |
function |
function, defined in class uvm_reg_block,
returns type void |
|
set_default_pass_effect |
function |
function, defined in class svt_err_check_stats,
returns type void |
|
set_default_pass_effects |
function |
function, defined in class svt_err_check,
returns type int |
|
set_defaults |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_depth |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_dmi_allowed |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_domain |
function |
function, defined in class uvm_component,
returns type void |
| set_domain |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_done_state |
function |
function, defined in class svt_fsm,
returns type void |
|
set_drain_time |
function |
function, defined in class uvm_objection,
returns type void |
|
set_dvm_additional_address |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_asid |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_completion |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_home_node_id |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_dvm_home_node_id |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_dvm_home_node_to_rn_map |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_dvm_message_type |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_os |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_security |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_transaction |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_virtual_index |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_dvm_vmid |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_end_of_transaction |
function |
function, defined in class svt_chi_transaction,
returns type void |
| set_end_of_transaction |
function |
function, defined in class svt_chi_snoop_transaction,
returns type void |
|
set_enter_time |
function |
function, defined in class svt_fsm_state_base,
returns type void |
|
set_err_check_name |
function |
function, defined in class svt_err_check_stats,
returns type void |
|
set_exclusive |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_exokay |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_exp_comp_ack |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
set_exp_comp_ack_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
set_extension |
function |
function, defined in class uvm_tlm_generic_payload,
returns type uvm_tlm_extension_base |
|
set_fail_cov_group |
function arg |
arg type string, defined in function svt_err_check :: set_checks_cov_fail |
|
set_fail_cov_sub_group |
function arg |
arg type string, defined in function svt_err_check :: set_checks_cov_fail |
|
set_file_dump_format |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_filename |
function |
function, defined in class svt_sequence_item_report,
returns type bit |
|
set_for_child |
function |
function, defined in class svt_config_object_db,
returns type void |
|
set_frontdoor |
function |
function, defined in class uvm_reg,
returns type void |
| set_frontdoor |
function |
function, defined in class uvm_mem,
returns type void |
|
set_fsm |
function |
function, defined in class svt_fsm_state_base,
returns type void |
| set_fsm |
function |
function, defined in class svt_fsm_state,
returns type void |
|
set_global_stop_timeout |
function |
function, defined in global,
returns type void |
|
set_global_timeout |
function |
function, defined in global,
returns type void |
|
set_hdl_path_root |
function |
function, defined in class uvm_reg_block,
returns type void |
|
set_heartbeat |
function |
function, defined in class uvm_heartbeat,
returns type void |
|
set_hn_addr_range |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_hn_addr_range |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_hn_atomic_xact_propagation_to_slave_policy |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_combined_write_and_cmo_propagation_to_slave_policy |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_dct_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_dmt_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_dwt_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_forward_cmos_to_slaves_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_forward_persist_cmos_to_slaves_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_interface_type |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_hn_interface_type |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_hn_invisible_cache_mode_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_l3_cache_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_memory_tagging_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_node_id |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_hn_node_id |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_hn_num_cache_lines |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_ord_stash_data_pull_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_sep_rd_data_sep_rsp_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_snoop_filter_based_snooping_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_snoop_filter_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_stash_data_pull_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_hn_stash_enable |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_ic_if |
function |
function, defined in class svt_chi_interconnect_configuration,
returns type void |
|
set_ic_rn_if |
function |
function, defined in class svt_chi_node_configuration,
returns type void |
|
set_ic_sn_if |
function |
function, defined in class svt_chi_node_configuration,
returns type void |
|
set_id |
function |
function, defined in class uvm_report_catcher,
returns type void |
| set_id |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_id_action |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_id_count |
function |
function, defined in class uvm_report_server,
returns type void |
|
set_id_file |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_id_info |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_id_verbosity |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_if |
function |
function, defined in class uvm_port_base,
returns type void |
| set_if |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
|
set_impl_display_depth |
function |
function, defined in class svt_sequence_item_report,
returns type void |
| set_impl_display_depth |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_report_callback,
returns type void |
| set_impl_display_depth |
function |
function, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback,
returns type void |
| set_impl_display_depth |
function |
function, defined in class svt_chi_rn_link_monitor_transaction_report_callback,
returns type void |
| set_impl_display_depth |
function |
function, defined in class svt_chi_sn_link_monitor_transaction_report_callback,
returns type void |
|
set_initial_cacheline_state |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
set_initiator |
function |
function, defined in class uvm_transaction,
returns type void |
|
set_inst_override |
function |
function, defined in class uvm_component,
returns type void |
| set_inst_override |
function |
function, defined in class uvm_component_registry,
returns type void |
| set_inst_override |
function |
function, defined in class uvm_object_registry,
returns type void |
|
set_inst_override_by_name |
function |
function, defined in class uvm_factory,
returns type void |
|
set_inst_override_by_type |
function |
function, defined in class uvm_component,
returns type void |
| set_inst_override_by_type |
function |
function, defined in class uvm_factory,
returns type void |
|
set_instance |
function |
function, defined in class svt_timer,
returns type void |
|
set_instruction |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_int_local |
function |
function, defined in class uvm_object,
returns type void |
| set_int_local |
function |
function, defined in class uvm_component,
returns type void |
| set_int_local |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
set_internal_variables |
function |
function, defined in class svt_chi_system_monitor_system_data,
returns type void |
|
set_is_active |
function |
function, defined in class svt_amba_perf_calc_base,
returns type void |
|
set_is_enabled |
function |
function, defined in class svt_err_check_stats,
returns type void |
|
set_is_internal |
function |
function, defined in class svt_sequence_item,
returns type void |
|
set_is_playback_callback_available |
function |
function, defined in class svt_debug_opts,
returns type void |
|
set_item_context |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_leave_time |
function |
function, defined in class svt_fsm_state_base,
returns type void |
|
set_length |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_lock |
function arg |
arg type int, defined in function svt_mem_word :: read |
| set_lock |
function arg |
arg type int, defined in function svt_mem_word :: write |
| set_lock |
function arg |
arg type int, defined in function svt_mem :: read |
| set_lock |
function arg |
arg type int, defined in function svt_mem :: write |
| set_lock |
function arg |
arg type int, defined in function svt_chi_interconnect_env :: write_to_icn_mem |
| set_lock |
function arg |
arg type int, defined in function svt_chi_interconnect_env :: read_from_icn_mem |
|
set_locked |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_lone_filename |
function |
function, defined in class svt_sequence_item_report,
returns type bit |
|
set_max_quit_count |
function |
function, defined in class uvm_report_handler,
returns type void |
| set_max_quit_count |
function |
function, defined in class uvm_report_server,
returns type void |
|
set_mecid |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_meminit |
task |
defined in class svt_mem |
|
set_message |
function |
function, defined in class uvm_report_catcher,
returns type void |
|
set_messaging |
function |
function, defined in class svt_debug_opts,
returns type void |
|
set_mn_addr_range |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_mn_addr_range |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_mode |
function |
function, defined in class uvm_heartbeat,
returns type uvm_heartbeat_modes |
|
set_modifiable |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_name |
function |
function, defined in class uvm_object,
returns type void |
| set_name |
function |
function, defined in class uvm_component,
returns type void |
| set_name |
function |
function, defined in class svt_type_factory,
returns type void |
| set_name |
function |
function, defined in class svt_fsm,
returns type void |
|
set_name_override |
function |
function, defined in class uvm_resource_pool,
returns type void |
|
set_new_perf_config |
function arg |
arg type bit, defined in function svt_chi_node_pmu :: set_cfg |
|
set_next_state_choice |
function |
function, defined in class svt_fsm_state_base,
returns type void |
|
set_next_states_transition_option |
function |
function, defined in class svt_fsm_state_base,
returns type void |
|
set_non_secure |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_num_last_reqs |
function |
function, defined in class uvm_sequencer_param_base,
returns type void |
|
set_num_last_rsps |
function |
function, defined in class uvm_sequencer_param_base,
returns type void |
|
set_object_channel |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_bit |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_bit_vector |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_int |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_logic_vector |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_real |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_string |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_field_value_time |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_local |
function |
function, defined in class uvm_object,
returns type void |
|
set_object_parent |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_object_predecessor |
function |
function, defined in class svt_vip_writer,
returns type bit |
|
set_offset |
function |
function, defined in class uvm_reg,
returns type void |
| set_offset |
function |
function, defined in class uvm_mem,
returns type void |
|
set_okay |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_override |
function |
function, defined in class uvm_resource_pool,
returns type void |
| set_override |
function |
function, defined in class uvm_resource,
returns type void |
| set_override |
function |
function, defined in class uvm_resource_db,
returns type void |
|
set_override_name |
function |
function, defined in class uvm_resource_db,
returns type void |
|
set_override_type |
function |
function, defined in class uvm_resource_db,
returns type void |
|
set_pa_writer |
function |
function, defined in class svt_axi_cache,
returns type void |
|
set_packed_size |
function |
function, defined in class uvm_packer,
returns type void |
|
set_parent |
function |
function, defined in class uvm_reg,
returns type void |
| set_parent |
function |
function, defined in class uvm_vreg,
returns type void |
| set_parent |
function |
function, defined in class uvm_reg_block,
returns type void |
| set_parent |
function |
function, defined in class uvm_mem,
returns type void |
|
set_parent_sequence |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_pass_cov_group |
function arg |
arg type string, defined in function svt_err_check :: set_checks_cov_pass |
|
set_pass_cov_sub_group |
function arg |
arg type string, defined in function svt_err_check :: set_checks_cov_pass |
|
set_pass_dirty |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_pbha |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_performance_monitoring |
function |
function, defined in class svt_chi_node_pmu,
returns type void |
|
set_phase_imp |
function |
function, defined in class uvm_component,
returns type void |
|
set_poison |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_priority |
function |
function, defined in class uvm_resource_base,
returns type void |
| set_priority |
function |
function, defined in class uvm_resource_pool,
returns type void |
| set_priority |
function |
function, defined in class uvm_resource,
returns type void |
| set_priority |
function |
function, defined in class uvm_sequence_base,
returns type void |
| set_priority |
task arg |
arg type int, defined in task uvm_sequence_base :: start_item |
| set_priority |
task arg |
arg type int, defined in task uvm_sequence_base :: finish_item |
| set_priority |
task arg |
arg type int, defined in task svt_sequence_item :: priority_start |
| set_priority |
task arg |
arg type int, defined in task svt_sequence_item :: priority_finish |
| set_priority |
task arg |
arg type int, defined in task svt_sequence :: priority_start |
| set_priority |
task arg |
arg type int, defined in task svt_sequence :: priority_finish |
| set_priority |
task arg |
arg type int, defined in task svt_reactive_sequence :: start_item |
|
set_priority_name |
function |
function, defined in class uvm_resource_pool,
returns type void |
|
set_priority_type |
function |
function, defined in class uvm_resource_pool,
returns type void |
|
set_privileged |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_prop_object |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
set_prop_val |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_configuration,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_err_check_stats,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_err_check,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_sequence_item,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_8b10b_data,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_fifo_rate_control_configuration,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_fifo_rate_control,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_traffic_profile_transaction,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_amba_addr_mapper,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_amba_fifo_rate_control_configuration,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_axi_cache,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_hn_addr_range,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_system_domain_item,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_address_configuration,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_protocol_service,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_link_service,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_common_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_common_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_base_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_base_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_snoop_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_snoop_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_flit_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_flit_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_rn_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_rn_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_sn_transaction_exception,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_sn_transaction_exception_list,
returns type bit |
| set_prop_val |
function |
function, defined in class svt_chi_system_monitor_system_data,
returns type bit |
|
set_prop_val_via_pattern |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
set_prop_val_via_plusargs |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
set_property |
function |
function, defined in class svt_mem,
returns type void |
|
set_prot_type |
function |
function, defined in class svt_axi_cache_line,
returns type void |
| set_prot_type |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_qos |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_quit_count |
function |
function, defined in class uvm_report_server,
returns type void |
|
set_range_weight |
function |
function, defined in class svt_randomize_assistant,
returns type void |
|
set_read |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_read_allocate |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_read_only |
function |
function, defined in class uvm_resource_base,
returns type void |
|
set_read_other_allocate |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_read_write |
function |
function, defined in class uvm_resource_base,
returns type void |
|
set_region |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_report_default_file |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_default_file_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_handler |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_id_action |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_id_action_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_id_file |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_id_file_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_id_verbosity |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_id_verbosity_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_max_quit_count |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_action |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_action_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_severity_file |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_file_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_severity_id_action |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_id_action_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_severity_id_file |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_id_file_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_severity_id_override |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_id_verbosity |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_severity_id_verbosity_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_report_severity_override |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_verbosity_level |
function |
function, defined in class uvm_report_object,
returns type void |
|
set_report_verbosity_level_hier |
function |
function, defined in class uvm_component,
returns type void |
|
set_reporter |
function arg |
arg type uvm_report_object, defined in function svt_debug_opts :: set_messaging |
|
set_req_accept_realtime |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
set_reset |
function |
function, defined in class uvm_reg_field,
returns type void |
| set_reset |
function |
function, defined in class uvm_reg,
returns type void |
|
set_reset_state |
function |
function, defined in class svt_fsm,
returns type void |
|
set_resp |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_resp_status_accept_realtime |
function |
function, defined in class svt_chi_transaction,
returns type void |
|
set_response_array |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_response_array_complete |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_response_queue_depth |
function |
function, defined in class uvm_sequence_base,
returns type void |
|
set_response_queue_error_report_disabled |
function |
function, defined in class uvm_sequence_base,
returns type void |
|
set_response_status |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_rn_if |
function |
function, defined in class svt_chi_node_configuration,
returns type void |
|
set_rn_transaction_start_ports |
task |
defined in class svt_chi_system_coherent_virtual_sequence |
|
set_rn_xact |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
set_rxla_state |
function |
function, defined in class svt_chi_link_rxla_fsm,
returns type void |
|
set_scope |
function |
function, defined in class uvm_resource_base,
returns type void |
|
set_sequence_id |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_sequencer |
function |
function, defined in class uvm_sequence_item,
returns type void |
| set_sequencer |
function |
function, defined in class uvm_reg_map,
returns type void |
|
set_sequences_queue |
function |
function, defined in class uvm_sequencer_base,
returns type void |
|
set_server |
function |
function, defined in class uvm_report_server,
returns type void |
| set_server |
function |
function, defined in class uvm_report_global_server,
returns type void |
|
set_severity |
function |
function, defined in class uvm_report_catcher,
returns type void |
|
set_severity_action |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_count |
function |
function, defined in class uvm_report_server,
returns type void |
|
set_severity_file |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_id_action |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_id_file |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_id_override |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_id_verbosity |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_severity_override |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_shared |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_size |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_slverr |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_sn_if |
function |
function, defined in class svt_chi_node_configuration,
returns type void |
|
set_sn_to_hn_map |
function |
function, defined in class svt_chi_system_configuration,
returns type void |
| set_sn_to_hn_map |
function |
function, defined in class svt_chi_address_configuration,
returns type void |
|
set_snoop |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_snoop_data_transfer |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_snoop_error |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_snoop_filter_access_status |
function |
function, defined in class svt_chi_system_transaction,
returns type void |
|
set_snoop_was_unique |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_start_state |
function |
function, defined in class svt_fsm,
returns type void |
|
set_start_time |
function |
function, defined in class svt_exception,
returns type void |
|
set_status |
function |
function, defined in class svt_axi_cache_line,
returns type void |
|
set_streaming_width |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_string_local |
function |
function, defined in class uvm_object,
returns type void |
| set_string_local |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
set_submap_offset |
function |
function, defined in class uvm_reg_map,
returns type void |
|
set_suspend_compack |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
set_suspend_compack_status |
attribute |
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
set_sysco_interface_state |
function |
function, defined in class svt_chi_link_sysco_interface_fsm,
returns type void |
|
set_tag |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
set_tagged_addr_bit_for_non_secure_access |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
set_threshold |
function |
function, defined in class uvm_barrier,
returns type void |
|
set_time_resolution |
function |
function, defined in class uvm_tlm_time,
returns type void |
|
set_timeout |
function |
function, defined in class uvm_root,
returns type void |
|
set_timeout_sev |
function |
function, defined in class svt_timer,
returns type void |
|
set_top_level_component |
function |
function, defined in class svt_debug_opts,
returns type void |
|
set_trace_display_depth |
function |
function, defined in class svt_sequence_item_report,
returns type void |
| set_trace_display_depth |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_report_callback,
returns type void |
| set_trace_display_depth |
function |
function, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback,
returns type void |
| set_trace_display_depth |
function |
function, defined in class svt_chi_rn_link_monitor_transaction_report_callback,
returns type void |
| set_trace_display_depth |
function |
function, defined in class svt_chi_sn_link_monitor_transaction_report_callback,
returns type void |
|
set_trace_header_present |
function |
function, defined in class svt_sequence_item_report,
returns type void |
|
set_transaction_id |
function |
function, defined in class uvm_transaction,
returns type void |
|
set_transaction_type |
function |
function, defined in class svt_axi_cache,
returns type void |
|
set_transaction_type_wt |
task |
defined in class svt_chi_system_coherent_virtual_sequence |
|
set_transaction_uid |
function |
function, defined in class svt_axi_cache,
returns type void |
|
set_txla_state |
function |
function, defined in class svt_chi_link_txla_fsm,
returns type void |
|
set_type_override |
function |
function, defined in class uvm_component,
returns type void |
| set_type_override |
function |
function, defined in class uvm_component_registry,
returns type void |
| set_type_override |
function |
function, defined in class uvm_object_registry,
returns type void |
| set_type_override |
function |
function, defined in class uvm_resource_pool,
returns type void |
|
set_type_override_by_name |
function |
function, defined in class uvm_factory,
returns type void |
|
set_type_override_by_type |
function |
function, defined in class uvm_component,
returns type void |
| set_type_override_by_type |
function |
function, defined in class uvm_factory,
returns type void |
|
set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_read_tag_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_write_tag_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snp_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_persist_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check,
returns type void |
| set_unique_id |
function |
function, defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check,
returns type void |
|
set_use_sequence_info |
function |
function, defined in class uvm_sequence_item,
returns type void |
|
set_user |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_verbosity |
function |
function, defined in class uvm_report_catcher,
returns type void |
|
set_verbosity_level |
function |
function, defined in class uvm_report_handler,
returns type void |
|
set_vif |
function |
function, defined in class svt_chi_interconnect_env,
returns type void |
|
set_volatility |
function |
function, defined in class uvm_reg_field,
returns type void |
|
set_write |
function |
function, defined in class uvm_tlm_generic_payload,
returns type void |
|
set_write_allocate |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_write_other_allocate |
function |
function, defined in class svt_amba_pv_extension,
returns type void |
|
set_xml_writer |
function |
function, defined in class svt_fsm,
returns type void |
|
setup_cov_plusarg |
function |
function, defined in class svt_configuration,
returns type void |
|
setup_node_info_arrays |
function |
function, defined in class svt_chi_system_base_virtual_sequence,
returns type void |
|
setup_pa_plusarg |
function |
function, defined in class svt_configuration,
returns type void |
|
setup_randomized_exception |
function |
function, defined in class svt_chi_common_transaction_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_base_transaction_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_snoop_transaction_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_transaction_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_flit_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_rn_transaction_exception_list,
returns type void |
| setup_randomized_exception |
function |
function, defined in class svt_chi_sn_transaction_exception_list,
returns type void |
|
sev |
attribute |
attribute type uvm_severity, defined in class uvm_comparer |
| sev |
attribute |
attribute type uvm_severity, defined in class sev_id_struct |
| sev |
function arg |
arg type svt_types :: severity_enum, defined in function svt_timer :: set_timeout_sev |
| sev |
function arg |
arg type output uvm_severity, defined in function glboal :: uvm_string_to_severity |
|
sev_id_overrides |
attribute |
attribute type uvm_pool, defined in class uvm_report_handler |
|
sev_id_struct |
class |
|
|
sev_overrides |
attribute |
attribute type uvm_pool, defined in class uvm_report_handler |
|
sev_specified |
attribute |
attribute type bit, defined in class sev_id_struct |
|
sev_str |
function arg |
arg type string, defined in function glboal :: uvm_string_to_severity |
|
severity |
function arg |
arg type uvm_severity, defined in function uvm_component :: set_report_severity_id_verbosity_hier |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_component :: set_report_severity_action_hier |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_component :: set_report_severity_id_action_hier |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_component :: set_report_severity_file_hier |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_component :: set_report_severity_id_file_hier |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: uvm_report |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_id_verbosity |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_id_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_file |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: set_report_severity_id_file |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: get_report_verbosity_level |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: get_report_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: get_report_file_handle |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_object :: uvm_report_enabled |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: run_hooks |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: get_verbosity_level |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: get_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: get_file_handle |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: report |
| severity |
function arg |
arg type input uvm_severity, defined in function uvm_report_handler :: set_severity_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_id_action |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_id_verbosity |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_file |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_handler :: set_severity_id_file |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: set_severity_count |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: get_severity_count |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: incr_severity_count |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: report |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: process_report |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_server :: compose_message |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_catcher :: set_severity |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_report_catcher :: uvm_report |
| severity |
function arg |
arg type ref uvm_severity, defined in function uvm_report_catcher :: process_all_report_catchers |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_sequence_item :: uvm_report |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_sequence_item :: uvm_report_enabled |
| severity |
function arg |
arg type uvm_severity, defined in function uvm_root_report_handler :: report |
| severity |
function arg |
arg type uvm_severity, defined in function glboal :: uvm_report_enabled |
| severity |
function arg |
arg type uvm_severity, defined in function glboal :: uvm_report |
|
severity_actions |
attribute |
attribute type uvm_action, defined in class uvm_report_handler |
|
severity_enum |
enum typedef |
defined in class svt_types |
|
severity_file_handles |
attribute |
attribute type UVM_FILE, defined in class uvm_report_handler |
|
severity_id_actions |
attribute |
attribute type uvm_pool, defined in class uvm_report_handler |
|
severity_id_file_handles |
attribute |
attribute type uvm_pool, defined in class uvm_report_handler |
|
severity_id_verbosities |
attribute |
attribute type uvm_pool, defined in class uvm_report_handler |
|
SF_ABSENT |
enum value |
member of svt_chi_transaction :: snoop_filter_precision_info_enum |
|
SF_ACCESS |
enum value |
member of svt_chi_hn_status :: sf_access_type_enum |
|
SF_ACCESS_NA |
enum value |
member of svt_chi_hn_status :: sf_access_type_enum |
|
sf_access_type |
attribute |
attribute type svt_chi_hn_status :: sf_access_type_enum, defined in class svt_chi_system_transaction |
|
sf_access_type_enum |
enum typedef |
defined in class svt_chi_hn_status |
|
SF_HIT |
enum value |
member of svt_chi_hn_status :: sf_access_type_enum |
| SF_HIT |
enum value |
member of svt_chi_system_transaction :: snoop_filter_access_enum |
|
SF_IMPRECISE |
enum value |
member of svt_chi_transaction :: snoop_filter_precision_info_enum |
|
SF_MISS |
enum value |
member of svt_chi_hn_status :: sf_access_type_enum |
| SF_MISS |
enum value |
member of svt_chi_system_transaction :: snoop_filter_access_enum |
|
SF_NA |
enum value |
member of svt_chi_system_transaction :: snoop_filter_access_enum |
|
SF_PRECISE |
enum value |
member of svt_chi_transaction :: snoop_filter_precision_info_enum |
|
SHALLOW |
enum value |
member of svt_sequence_item_base :: recursive_op_enum |
|
shared |
function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_shared |
|
shared_end_addr |
function arg |
arg type addr_t, defined in function svt_axi_cache :: new |
|
shared_err_check |
attribute |
attribute type static svt_err_check, defined in class svt_component |
| shared_err_check |
attribute |
attribute type static svt_err_check, defined in class svt_driver |
| shared_err_check |
attribute |
attribute type static svt_err_check, defined in class svt_monitor |
|
shared_reporter |
attribute |
attribute type static uvm_report_object, defined in class svt_err_check_stats |
|
shared_start_addr |
function arg |
arg type addr_t, defined in function svt_axi_cache :: new |
|
shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_rn_transaction_base_sequence |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_sn_transaction_base_sequence |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_snoop_transaction_base_sequence |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_protocol_service_base_sequence |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_link_service_base_sequence |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_node_pmu |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_link_txla_fsm |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_link_rxla_fsm |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_link_sysco_interface_fsm |
| shared_status |
function arg |
arg type svt_chi_status, defined in function svt_chi_rn_link_monitor_callback :: txsactive_rxsactive_cb |
| shared_status |
function arg |
arg type svt_chi_status, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: txsactive_rxsactive_cb |
| shared_status |
function arg |
arg type svt_chi_status, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: cov_sample_txsactive_rxsactive |
| shared_status |
function arg |
arg type svt_chi_status, defined in function svt_chi_rn_link_monitor_def_cov_callback :: cov_sample_txsactive_rxsactive |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_rn_agent |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_ic_rn_agent |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_sn_agent |
| shared_status |
attribute |
attribute type svt_chi_status, defined in class svt_chi_ic_sn_agent |
|
shared_system_status |
attribute |
attribute type svt_chi_system_status, defined in class svt_chi_system_env |
|
SHORT_DELAY_wt |
attribute |
attribute type int, defined in class svt_chi_common_transaction |
|
short_timeunit_str |
attribute |
attribute type static string, defined in class svt_types |
|
show_header |
function arg |
arg type bit, defined in function uvm_objection :: m_display_objections |
| show_header |
function arg |
arg type bit, defined in function uvm_objection :: display_objections |
|
show_max |
attribute |
attribute type int unsigned, defined in class uvm_comparer |
|
show_radix |
attribute |
attribute type bit, defined in class uvm_printer_knobs |
|
show_root |
attribute |
attribute type bit, defined in class uvm_printer_knobs |
|
shutdown_phase |
task |
defined in class uvm_component |
|
signal_state_RXDATFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
| signal_state_RXDATFLIT |
covergroup |
defined in class svt_chi_sn_link_monitor_def_state_cov_callback |
|
signal_state_RXREQFLIT |
covergroup |
defined in class svt_chi_sn_link_monitor_def_state_cov_callback |
|
signal_state_RXRSPFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
|
signal_state_RXSNPFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
|
signal_state_TXDATFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
| signal_state_TXDATFLIT |
covergroup |
defined in class svt_chi_sn_link_monitor_def_state_cov_callback |
|
signal_state_TXREQFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
|
signal_state_TXRSPFLIT |
covergroup |
defined in class svt_chi_rn_link_monitor_def_state_cov_callback |
| signal_state_TXRSPFLIT |
covergroup |
defined in class svt_chi_sn_link_monitor_def_state_cov_callback |
|
signal_valid_rxdatflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxdatflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxdatflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxdatlcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxdatlcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxlinkactiveack_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxlinkactiveack_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxlinkactivereq_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxlinkactivereq_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxreqflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxreqflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxreqflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxreqlcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxreqlcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxrspflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxrspflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxrspflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxrsplcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxrsplcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsactive_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsnpflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsnpflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsnpflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsnplcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_rxsnplcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txdatflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txdatflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txdatflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txdatlcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txdatlcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txlinkactiveack_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txlinkactiveack_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txlinkactivereq_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txlinkactivereq_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txreqflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txreqflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txreqflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txreqlcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txreqlcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txrspflitpend_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txrspflitv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txrspflitv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txrsplcrdv_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txrsplcrdv_during_reset |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
signal_valid_txsactive_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
silent |
function arg |
arg type bit, defined in function svt_sequence_item_base :: is_valid |
| silent |
function arg |
arg type bit, defined in function svt_sequence_item_base :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_err_check :: unregister_err_check_stats |
| silent |
function arg |
arg type bit, defined in function svt_err_check :: unregister_check |
| silent |
function arg |
arg type bit, defined in function svt_err_check :: get_err_check_stats |
| silent |
function arg |
arg type bit, defined in function svt_err_check :: get_err_check |
| silent |
function arg |
arg type bit, defined in function svt_sequence_item :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_sequence_item :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_8b10b_data :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_err_catcher :: report |
| silent |
function arg |
arg type bit, defined in function svt_fifo_rate_control_configuration :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_traffic_profile_transaction :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_amba_fifo_rate_control_configuration :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_hn_addr_range :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_address_configuration :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_protocol_service :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_link_service :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_common_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_common_transaction_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_base_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_base_transaction_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_snoop_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_snoop_transaction_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_transaction_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_flit_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_flit_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_rn_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_rn_transaction_exception_list :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_sn_transaction_exception :: do_is_valid |
| silent |
function arg |
arg type bit, defined in function svt_chi_sn_transaction_exception_list :: do_is_valid |
| silent |
attribute |
attribute type bit, defined in class svt_chi_protocol_service_base_sequence |
| silent |
function arg |
arg type bit, defined in function svt_chi_protocol_service_coherency_exit_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_protocol_service_coherency_entry_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_protocol_service_random_coherency_exit_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_link_service_base_sequence :: is_supported |
| silent |
attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_prefetchtgt_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_readspec_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_readnotshareddirty_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writecleanptl_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_combined_write_cmo_base_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpzero_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpfull_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpptl_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeuniquefull_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeuniqueptl_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writebackfull_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writecleanfull_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpdef_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeuniquezero_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpfull_cleaninvalidpopa_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writenosnpptl_cleaninvalidpopa_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writebackfull_cleaninvalidpopa_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_cleaninvalidpopa_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_stashoncesepunique_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_stashoncesepshared_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeuniquefullstash_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_stashonceunique_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_stashonceshared_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_cleansharedpersist_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_dvm_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_coherent_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_protocol_flow_ctrl_combined_write_cmo_hazard_base_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_atomicstore_transaction_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_atomicload_transaction_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_atomicswap_transaction_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_makereadunique_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_readpreferunique_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_single_node_writeevictorevict_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence :: is_supported |
| silent |
function arg |
arg type bit, defined in function svt_chi_system_monitor_system_data :: do_is_valid |
|
silent_mode |
function |
function, defined in class svt_sequence_item_base,
returns type void |
|
silent_status |
attribute |
attribute type bit, defined in class svt_chi_system_base_virtual_sequence |
|
single_outstanding_dvmop_sync_request_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
single_outstanding_per_txn_id |
attribute |
attribute type svt_chi_node_configuration :: single_outstanding_per_txn_id_enum, defined in class svt_chi_node_configuration |
|
single_outstanding_per_txn_id_enum |
enum typedef |
defined in class svt_chi_node_configuration |
|
single_outstanding_req_per_txn_id_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
single_outstanding_snpdvmop_per_txn_id_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
single_outstanding_snpdvmop_sync_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
single_req_order_stream_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
single_rn_optimized_streaming_order_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
single_xact_mix_ok_exok_response_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sink_responses |
task |
defined in class svt_chi_rn_transaction_base_sequence |
| sink_responses |
task |
defined in class svt_chi_sn_transaction_base_sequence |
| sink_responses |
task |
defined in class svt_chi_ic_sn_transaction_base_sequence |
| sink_responses |
task |
defined in class svt_chi_ic_snoop_transaction_base_sequence |
| sink_responses |
task |
defined in class svt_chi_rn_coherent_transaction_base_sequence |
| sink_responses |
task |
defined in class svt_chi_protocol_service_base_sequence |
| sink_responses |
task |
defined in class svt_chi_link_service_base_sequence |
|
six_sn_f_striping_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
six_sn_f_striping_top_address_bit_0 |
attribute |
attribute type bit [(SVT_CHI_ADDR_IDX_WIDTH-1):0], defined in class svt_chi_system_configuration |
|
six_sn_f_striping_top_address_bit_1 |
attribute |
attribute type bit [(SVT_CHI_ADDR_IDX_WIDTH-1):0], defined in class svt_chi_system_configuration |
|
six_sn_f_striping_top_address_bit_2 |
attribute |
attribute type bit [(SVT_CHI_ADDR_IDX_WIDTH-1):0], defined in class svt_chi_system_configuration |
|
size |
function arg |
arg type int, defined in function uvm_printer :: print_int |
| size |
function arg |
arg type int, defined in function uvm_printer :: print_field |
| size |
function arg |
arg type int, defined in function uvm_printer :: print_generic |
| size |
function arg |
arg type int, defined in function uvm_printer :: print_array_header |
| size |
function arg |
arg type int, defined in function uvm_printer :: print_array_footer |
| size |
function arg |
arg type int, defined in function uvm_comparer :: compare_field |
| size |
function arg |
arg type int, defined in function uvm_comparer :: compare_field_int |
| size |
function arg |
arg type int, defined in function uvm_packer :: pack_field |
| size |
function arg |
arg type int, defined in function uvm_packer :: pack_field_int |
| size |
function arg |
arg type int, defined in function uvm_packer :: unpack_field_int |
| size |
function arg |
arg type int, defined in function uvm_packer :: unpack_field |
| size |
function arg |
arg type int, defined in function uvm_recorder :: record_field |
| size |
function |
function, defined in class uvm_queue,
returns type int |
| size |
attribute |
attribute type bit, defined in class uvm_printer_knobs |
| size |
function |
function, defined in class uvm_port_base,
returns type int |
| size |
function |
function, defined in class uvm_tlm_fifo_base,
returns type int |
| size |
function arg |
arg type int, defined in function uvm_tlm_fifo :: new |
| size |
function |
function, defined in class uvm_tlm_fifo,
returns type int |
| size |
function arg |
arg type int unsigned, defined in function uvm_reg_field :: configure |
| size |
function arg |
arg type int unsigned, defined in function uvm_vreg_field :: configure |
| size |
function arg |
arg type int, defined in function uvm_reg :: add_hdl_path_slice |
| size |
function arg |
arg type longint unsigned, defined in function uvm_vreg :: configure |
| size |
function arg |
arg type longint unsigned, defined in function uvm_mem :: new |
| size |
function arg |
arg type int, defined in function uvm_mem :: add_hdl_path_slice |
| size |
function arg |
arg type output int, defined in function uvm_reg_map :: Xget_bus_infoX |
| size |
function arg |
arg type int unsigned, defined in function uvm_hdl_path_concat :: add_path |
| size |
function arg |
arg type int unsigned, defined in function uvm_reg_fifo :: new |
| size |
function |
function, defined in class uvm_reg_fifo,
returns type unsigned int |
| size |
function |
function, defined in class svt_sequence_item_base_queue,
returns type int |
| size |
function arg |
arg type int unsigned, defined in function svt_amba_pv_extension :: set_size |
| size |
function arg |
arg type int, defined in function glboal :: uvm_vector_to_string |
|
SIZE_16BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_1BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_2BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_32BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_4BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_64BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
SIZE_8BYTE |
enum value |
member of svt_chi_common_transaction :: data_size_enum |
|
size_width |
attribute |
attribute type int, defined in class uvm_printer_knobs |
|
skip_file |
function arg |
arg type int, defined in function svt_event_pool :: add_ev |
|
skip_if_no_snoop_filter_is_enabled |
function arg |
arg type bit, defined in function svt_chi_system_transaction :: set_initial_cacheline_state |
|
slave_0 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_1 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_2 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_3 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_4 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_5 |
enum value |
member of svt_amba_addr_mapper :: path_cov_dest_names_enum |
|
slave_cmo_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
slave_data_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
slave_export |
attribute |
attribute type uvm_slave_imp, defined in class uvm_tlm_req_rsp_channel |
|
slave_persist_cmo_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
slave_poison_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
slave_port_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_slave_route_port |
| slave_port_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_slave_route_port_for_xact |
|
slave_tag_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
slave_transaction_routing_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
slave_xact |
function arg |
arg type svt_sequence_item, defined in function svt_chi_system_monitor_callback :: pre_master_slave_association |
| slave_xact |
function arg |
arg type svt_sequence_item, defined in function svt_chi_system_monitor_callback :: post_slave_xact_to_rn_xact_correlation |
| slave_xact |
function arg |
arg type svt_sequence_item, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_slave_xact_to_rn_xact_correlation |
|
slave_xact_to_rn_xact_correlation_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
slave_xacts_ordering_for_ordered_rn_xact_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
slaves_to_forward_cmos |
attribute |
attribute type int, defined in class svt_chi_system_transaction |
|
SLC_REP_HINT_DISABLED |
enum value |
member of svt_chi_node_configuration :: slcrephint_mode_enum |
|
SLC_REP_HINT_SPEC_RECOMMENDED |
enum value |
member of svt_chi_node_configuration :: slcrephint_mode_enum |
|
SLC_REP_HINT_USER_DEFINED |
enum value |
member of svt_chi_node_configuration :: slcrephint_mode_enum |
|
slcrephint_mode |
attribute |
attribute type rand svt_chi_node_configuration :: slcrephint_mode_enum, defined in class svt_chi_node_configuration |
|
slcrephint_mode_enum |
enum typedef |
defined in class svt_chi_node_configuration |
|
slcrephint_replacement |
attribute |
attribute type rand bit [SVT_CHI_SLCREPLACEMENTHINT_REPLACEMENT_FIELD_WIDTH-1:0], defined in class svt_chi_common_transaction |
|
slcrephint_reserved |
attribute |
attribute type rand bit [(SVT_CHI_MAX_SLCREPLACEMENTHINT_RESERVED_FIELD_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
slcrephint_unusedprefetch |
attribute |
attribute type rand bit, defined in class svt_chi_common_transaction |
|
SLI_Terminate |
function |
function, defined in global,
returns type int |
|
slice |
function arg |
arg type uvm_hdl_path_slice, defined in function uvm_hdl_path_concat :: add_slice |
|
slices |
function arg |
arg type uvm_hdl_path_slice, defined in function uvm_reg :: add_hdl_path |
| slices |
function arg |
arg type uvm_hdl_path_slice, defined in function uvm_mem :: add_hdl_path |
| slices |
attribute |
attribute type uvm_hdl_path_slice, defined in class uvm_hdl_path_concat |
|
SLVERR |
enum value |
member of svt_amba_pv :: resp_t |
|
SN |
enum value |
member of svt_chi_node_configuration :: chi_node_type_enum |
| sn |
attribute |
attribute type svt_chi_sn_agent, defined in class svt_chi_system_env |
|
sn_cfg |
attribute |
attribute type rand svt_chi_node_configuration, defined in class svt_chi_system_configuration |
|
sn_connected_node_cfg |
attribute |
attribute type rand svt_chi_node_configuration, defined in class svt_chi_interconnect_configuration |
|
SN_F |
enum value |
member of svt_chi_node_configuration :: chi_interface_type_enum |
|
SN_I |
enum value |
member of svt_chi_node_configuration :: chi_interface_type_enum |
|
sn_id |
function arg |
arg type int, defined in function svt_chi_system_configuration :: is_valid_slave_node_id |
| sn_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_sn_node_id |
| sn_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_sn_node_id_for_xact |
| sn_id |
function arg |
arg type int, defined in function svt_chi_system_configuration :: get_sn_index |
| sn_id |
function arg |
arg type int, defined in function svt_chi_interconnect_configuration :: get_sn_connected_node_index |
|
sn_idx |
function arg |
arg type int, defined in function svt_chi_system_configuration :: set_sn_to_hn_map |
| sn_idx |
function arg |
arg type int, defined in function svt_chi_system_configuration :: is_participating_sn |
| sn_idx |
function arg |
arg type int, defined in function svt_chi_address_configuration :: set_sn_to_hn_map |
|
sn_if |
attribute |
attribute type svt_chi_sn_vif, defined in class svt_chi_node_configuration |
| sn_if |
function arg |
arg type svt_chi_sn_vif, defined in function svt_chi_node_configuration :: set_sn_if |
| sn_if |
interface attribute |
defined in interface svt_chi_sn_if, |
|
sn_node_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_slave_node_id_for_addr |
| sn_node_id |
function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_slave_node_id_for_xact |
| sn_node_id |
attribute |
attribute type bit [(SVT_CHI_TGT_ID_WIDTH-1):0], defined in class svt_chi_system_transaction |
|
sn_node_idx |
attribute |
attribute type int, defined in class svt_chi_system_transaction |
|
sn_retry_to_hn_req_rate |
attribute |
attribute type real, defined in class svt_chi_hn_status |
|
sn_rx_dat_flit_export |
attribute |
attribute type uvm_blocking_put_imp_sn_dat_flit, defined in class svt_chi_interconnect |
|
sn_rx_rsp_flit_export |
attribute |
attribute type uvm_blocking_put_imp_sn_rsp_flit, defined in class svt_chi_interconnect |
|
sn_to_hn_data_bw |
attribute |
attribute type real, defined in class svt_chi_hn_status |
|
sn_valid_xact_rsp_msg_type_and_atomic_compdata_order_policy |
constraint |
defined in class svt_chi_sn_transaction |
|
sn_vif |
attribute |
attribute type virtual svt_chi_sn_if, defined in class svt_chi_link_service_base_sequence |
|
sn_virt_seqr |
attribute |
attribute type svt_chi_sn_virtual_sequencer, defined in class svt_chi_sn_transaction_base_sequence |
| sn_virt_seqr |
attribute |
attribute type svt_chi_sn_virtual_sequencer, defined in class svt_chi_link_service_base_sequence |
| sn_virt_seqr |
attribute |
attribute type svt_chi_sn_virtual_sequencer, defined in class svt_chi_system_virtual_sequencer |
|
sn_xact_seqr |
attribute |
attribute type svt_chi_sn_transaction_sequencer, defined in class svt_chi_sn_virtual_sequencer |
| sn_xact_seqr |
attribute |
attribute type svt_chi_sn_transaction_sequencer, defined in class svt_chi_sn_agent |
|
sn_xacts |
attribute |
attribute type svt_chi_rn_transaction, defined in class svt_chi_system_transaction |
|
sname |
function arg |
arg type string, defined in function uvm_derived_callbacks :: register_super_type |
|
sni_related_stuff |
constraint |
defined in class svt_chi_rn_transaction_random_sequence |
|
snoop_addr_matches_coherent_addr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_channel_transmission_policy_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
snoop_data_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_excl_fail |
attribute |
attribute type protected bit, defined in class svt_chi_exclusive_monitor |
|
snoop_filter |
attribute |
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_system_transaction |
| snoop_filter |
attribute |
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data |
|
snoop_filter_access_enum |
enum typedef |
defined in class svt_chi_system_transaction |
|
snoop_filter_access_status |
attribute |
attribute type svt_chi_system_transaction :: snoop_filter_access_enum, defined in class svt_chi_system_transaction |
|
snoop_filter_based_snooping_enable |
function arg |
arg type bit, defined in function svt_chi_system_configuration :: set_hn_snoop_filter_based_snooping_enable |
|
snoop_filter_enable |
function arg |
arg type bit, defined in function svt_chi_system_configuration :: set_hn_snoop_filter_enable |
| snoop_filter_enable |
attribute |
attribute type bit, defined in class svt_chi_interconnect_configuration |
|
snoop_filter_policy_on_sc_state |
attribute |
attribute type svt_chi_system_configuration :: snoop_filter_policy_on_sc_state_enum, defined in class svt_chi_system_configuration |
|
snoop_filter_policy_on_sc_state_enum |
enum typedef |
defined in class svt_chi_system_configuration |
|
snoop_filter_precision_info |
attribute |
attribute type svt_chi_transaction :: snoop_filter_precision_info_enum, defined in class svt_chi_transaction |
|
snoop_filter_precision_info_enum |
enum typedef |
defined in class svt_chi_transaction |
|
snoop_filter_precision_info_flag |
attribute |
attribute type protected bit, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
|
snoop_flit_lcrdreturn_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
snoop_flit_snpdvmop_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
snoop_flit_snpreq_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
snoop_item_observed_port |
attribute |
attribute type uvm_analysis_port, defined in class svt_chi_node_protocol_monitor |
|
snoop_not_sent_to_initiating_master_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_report_prot_cb |
attribute |
attribute type svt_chi_node_protocol_monitor_snoop_transaction_report_callback, defined in class svt_chi_rn_agent |
|
snoop_resp_final_state |
attribute |
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_system_transaction |
|
snoop_resp_has_data_xfer |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
snoop_resp_has_partial_data |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
snoop_resp_passdirty_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_resp_PD |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
snoop_resp_wasunique_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_tag_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
snoop_tlm_generic_payload_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| snoop_tlm_generic_payload_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
|
snoop_transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| snoop_transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| snoop_transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_xml_callback,
returns type void |
| snoop_transaction_ended |
function |
function, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback,
returns type void |
|
snoop_transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| snoop_transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| snoop_transaction_observed_cov |
function |
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,
returns type void |
|
snoop_transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor,
returns type void |
| snoop_transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_callback,
returns type void |
| snoop_transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_transaction_xml_callback,
returns type void |
| snoop_transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,
returns type void |
| snoop_transaction_started |
function |
function, defined in class svt_chi_node_protocol_monitor_system_checker_callback,
returns type void |
|
snoop_xact |
task arg |
arg type svt_chi_snoop_transaction, defined in task svt_chi_exclusive_monitor :: check_exclusive_snoop_overlap |
| snoop_xact |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data |
| snoop_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: cov_sample_snp_delay_parameters |
| snoop_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: cov_sample_outstanding_dvm_snoop_xact_parameters |
| snoop_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: cov_sample_outstanding_snoop_xact_parameters |
| snoop_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_callback :: cov_sample_outstanding_dvm_snoop_xact_parameters |
| snoop_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_callback :: cov_sample_outstanding_snoop_xact_parameters |
|
snoop_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data |
| snoop_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data |
|
snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_callback :: post_chi_coherent_and_snoop_transaction_association |
| snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_coherent_and_snoop_transaction_association |
| snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| snoop_xacts |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
|
snoop_xacts_started_after_curr_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_transaction |
|
snoop_xacts_started_during_curr_xact_queue |
attribute |
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data |
|
SNOOPABLE |
enum value |
member of svt_chi_system_domain_item :: system_domain_type_enum |
|
snoopme |
attribute |
attribute type rand bit, defined in class svt_chi_base_transaction |
|
snoops_not_expected |
function arg |
arg type ref bit, defined in function svt_chi_system_monitor_callback :: pre_check_snoop_transaction_issue |
|
SNP |
enum value |
member of svt_chi_common_transaction :: flit_type_enum |
|
snp_addr_mode |
attribute |
attribute type rand svt_chi_ic_snoop_transaction_directed_sequence :: snp_addr_mode_enum, defined in class svt_chi_ic_snoop_transaction_directed_sequence |
|
snp_addr_mode_enum |
enum typedef |
defined in class svt_chi_ic_snoop_transaction_directed_sequence |
|
snp_attr_is_snoopable |
attribute |
attribute type rand bit, defined in class svt_chi_base_transaction |
|
snp_attr_snp_domain_type |
attribute |
attribute type rand svt_chi_common_transaction :: snp_attr_snp_domain_type_enum, defined in class svt_chi_base_transaction |
|
snp_attr_snp_domain_type_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
snp_cov_item |
attribute |
attribute type protected svt_chi_snoop_transaction, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
|
snp_dat_obj_num |
interface attribute |
defined in interface svt_chi_rn_if, |
| snp_dat_obj_num |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| snp_dat_obj_num |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
snp_data_cbusy |
attribute |
attribute type rand bit [(SVT_CHI_XACT_CBUSY_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
|
snp_dvm_op_p1_payload |
attribute |
attribute type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
|
snp_dvm_op_p2_payload |
attribute |
attribute type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
|
snp_dvmop_sync_response_policy |
attribute |
attribute type svt_chi_node_configuration :: snp_dvmop_sync_response_policy_enum, defined in class svt_chi_node_configuration |
|
snp_dvmop_sync_response_policy_enum |
enum typedef |
defined in class svt_chi_node_configuration |
|
snp_filter_hit_rate |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
snp_filter_miss_rate |
attribute |
attribute type real, defined in class svt_chi_system_hn_status |
|
snp_flit |
function arg |
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_rx_snp_flit |
|
snp_flit_end_time |
function arg |
arg type output real, defined in function svt_chi_common_transaction :: get_snp_timing_info |
|
SNP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SNP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
snp_lcrd_suspend_resume_status |
attribute |
attribute type svt_chi_link_status :: lcrd_suspend_resume_status_enum, defined in class svt_chi_link_status |
|
SNP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SNP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SNP_PROTOCOL_FLIT_OBSERVED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
snp_req_msg_type |
attribute |
attribute type rand svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_snoop_transaction |
|
snp_req_msg_type_enum |
enum typedef |
defined in class svt_chi_common_transaction |
|
snp_req_obj_num |
interface attribute |
defined in interface svt_chi_rn_if, |
| snp_req_obj_num |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| snp_req_obj_num |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
snp_response_cbusy |
attribute |
attribute type rand bit [(SVT_CHI_XACT_CBUSY_WIDTH-1):0], defined in class svt_chi_snoop_transaction |
|
snp_response_request_imp |
attribute |
attribute type uvm_blocking_get_imp_snp_xact, defined in class svt_chi_rn_protocol |
| snp_response_request_imp |
attribute |
attribute type uvm_blocking_get_imp_snp_xact, defined in class svt_chi_interconnect |
|
snp_rsp_datatransfer |
attribute |
attribute type rand bit, defined in class svt_chi_snoop_transaction |
|
snp_rsp_is_tag_shared |
attribute |
attribute type rand bit, defined in class svt_chi_snoop_transaction |
|
snp_rsp_isshared |
attribute |
attribute type rand bit, defined in class svt_chi_snoop_transaction |
|
snp_rsp_obj_num |
interface attribute |
defined in interface svt_chi_rn_if, |
| snp_rsp_obj_num |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
| snp_rsp_obj_num |
interface attribute |
defined in interface svt_chi_ic_sn_if, |
|
snp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_protocol |
| snp_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_interconnect |
|
snp_transaction_inactivity_timeout_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snp_txn_id_pattern |
attribute |
attribute type rand svt_chi_ic_snoop_transaction_directed_sequence :: snp_txn_id_pattern_enum, defined in class svt_chi_ic_snoop_transaction_directed_sequence |
|
snp_txn_id_pattern_enum |
enum typedef |
defined in class svt_chi_ic_snoop_transaction_directed_sequence |
|
SNP_VC_ADVERTISED_CURR_L_CREDIT_COUNT |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
snp_vc_flit_opcode |
attribute |
attribute type rand svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_flit |
|
SNP_VC_L_CREDIT_TRANSMITTED_NEXT_CYCLE_FLITV_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SNP_VC_L_CREDIT_TRANSMITTED_SAME_CYCLE_FLITPEND_ASSERTED |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
snp_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_snoop_transaction :: print_pending_flits_at_snp_transaction_timeout |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor :: link_activity_observed_snoop_transaction_cov |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor_callback :: link_activity_observed_snoop_transaction_cov |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: link_activity_observed_snoop_transaction_cov |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_data_callback :: cov_sample_link_activity_snoop_transaction |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor_def_cov_callback :: cov_sample_link_activity_snoop_transaction |
| snp_xact |
function arg |
arg type svt_chi_rn_snoop_transaction, defined in function svt_chi_node_protocol_monitor_issue_b_def_cov_callback :: cov_sample_link_activity_snoop_transaction |
| snp_xact |
function arg |
arg type svt_chi_snoop_transaction, defined in function svt_chi_node_protocol_monitor_issue_b_def_cov_callback :: cov_sample_stash_snpresp_datapull_read_data_dataresperr_response |
|
snp_xact_flow_category |
attribute |
attribute type snp_xact_flow_category_enum, defined in class svt_chi_snoop_transaction |
|
snp_xact_inactivity_timeout |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
snp_xact_physical_address_space_valid_attributes |
constraint |
defined in class svt_chi_ic_snoop_transaction |
|
SNPCLEAN |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpclean_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpclean_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPCLEANFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpcleanfwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpcleanfwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPCLEANINVALID |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpcleaninvalid_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpcleaninvalid_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPCLEANSHARED |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpcleanshared_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpcleanshared_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpdata_be_pattern |
attribute |
attribute type rand svt_chi_common_transaction :: byte_enable_pattern_enum, defined in class svt_chi_snoop_transaction |
|
SNPDVMOP |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpdvmop_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPLINKFLIT |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
SNPMAKEINVALID |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpmakeinvalid_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpmakeinvalid_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPMAKEINVALIDSTASH |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPNOTSHAREDDIRTY |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpnotshareddirty_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpnotshareddirty_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPNOTSHAREDDIRTYFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPONCE |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snponce_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snponce_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPONCEFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snponcefwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snponcefwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPPREFERUNIQUE |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
SNPPREFERUNIQUE_ALWAYS_TREATED_AS_SNPNOTSHAREDDIRTY |
enum value |
member of svt_chi_node_configuration :: snppreferunique_interpretation_policy_enum |
|
snppreferunique_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snppreferunique_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPPREFERUNIQUE_INTERPRETATION_BASED_ON_ONGOING_EXCLUSIVE |
enum value |
member of svt_chi_node_configuration :: snppreferunique_interpretation_policy_enum |
|
snppreferunique_interpretation_policy |
attribute |
attribute type svt_chi_node_configuration :: snppreferunique_interpretation_policy_enum, defined in class svt_chi_node_configuration |
|
snppreferunique_interpretation_policy_enum |
enum typedef |
defined in class svt_chi_node_configuration |
|
SNPPREFERUNIQUEFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
SNPPREFERUNIQUEFWD_ALWAYS_TREATED_AS_SNPNOTSHAREDDIRTYFWD |
enum value |
member of svt_chi_node_configuration :: snppreferuniquefwd_interpretation_policy_enum |
|
snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snppreferuniquefwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPPREFERUNIQUEFWD_INTERPRETATION_BASED_ON_ONGOING_EXCLUSIVE |
enum value |
member of svt_chi_node_configuration :: snppreferuniquefwd_interpretation_policy_enum |
|
snppreferuniquefwd_interpretation_policy |
attribute |
attribute type svt_chi_node_configuration :: snppreferuniquefwd_interpretation_policy_enum, defined in class svt_chi_node_configuration |
|
snppreferuniquefwd_interpretation_policy_enum |
enum typedef |
defined in class svt_chi_node_configuration |
|
SNPQUERY |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpquery_associated_response_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpquery_associated_response_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpquery_xact_type |
constraint |
defined in class svt_chi_snoop_transaction |
|
SNPRESP |
enum value |
member of svt_chi_common_transaction :: rsp_msg_type_enum |
|
SNPRESP_ASSERTION_TO_NEXT_SNPRESP_ASSERTION_DELAY |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPRESPDATA |
enum value |
member of svt_chi_common_transaction :: dat_msg_type_enum |
|
SNPRESPDATAFWDED |
enum value |
member of svt_chi_common_transaction :: dat_msg_type_enum |
|
SNPRESPDATAPTL |
enum value |
member of svt_chi_common_transaction :: dat_msg_type_enum |
|
SNPRESPFWDED |
enum value |
member of svt_chi_common_transaction :: rsp_msg_type_enum |
|
snprspdatafwded_compdata_data_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPSHARED |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpshared_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpshared_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPSHAREDFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpsharedfwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpsharedfwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPSTASHSHARED |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpstashshared_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpstashshared_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPSTASHUNIQUE |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpstashunique_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpstashunique_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPUNIQUE |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpunique_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpunique_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPUNIQUEFWD |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpuniquefwd_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpuniquefwd_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
SNPUNIQUESTASH |
enum value |
member of svt_chi_common_transaction :: snp_req_msg_type_enum |
|
snpuniquestash_associated_response_data_packets_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
snpuniquestash_associated_response_data_packets_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
software_rules_based_checks_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
solve_order |
constraint |
defined in class svt_chi_node_configuration |
|
sort_by_precedence |
function |
function, defined in class uvm_resource_pool,
returns type void |
|
source_id_flag |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback |
| source_id_flag |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
|
source_masters |
attribute |
attribute type string, defined in class svt_amba_addr_mapper |
|
source_obj |
function arg |
arg type uvm_object, defined in function uvm_component :: raised |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_component :: dropped |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_component :: all_dropped |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: m_report |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: m_propagate |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: m_raise |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: m_drop |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: raised |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection :: dropped |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_objection :: m_forked_drain |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_objection :: all_dropped |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_test_done_objection :: all_dropped |
| source_obj |
attribute |
attribute type uvm_object, defined in class uvm_objection_context_object |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection_callback :: raised |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_objection_callback :: dropped |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_objection_callback :: all_dropped |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_callbacks_objection :: raised |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_callbacks_objection :: dropped |
| source_obj |
task arg |
arg type uvm_object, defined in task uvm_callbacks_objection :: all_dropped |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_heartbeat_callback :: raised |
| source_obj |
function arg |
arg type uvm_object, defined in function uvm_heartbeat_callback :: dropped |
|
source_object_uid |
function arg |
arg type string, defined in function svt_vip_writer :: set_custom_relation |
| source_object_uid |
function arg |
arg type string, defined in function svt_vip_writer :: set_custom_relations |
|
source_observed_snoop_port |
task |
defined in class svt_chi_rn_protocol_monitor |
|
source_target_info |
attribute |
attribute type string, defined in class svt_chi_common_transaction |
|
special_kind |
attribute |
attribute type int, defined in class svt_comparer |
| special_kind |
function arg |
arg type int, defined in function svt_comparer :: new |
| special_kind |
attribute |
attribute type int, defined in class svt_packer |
| special_kind |
function arg |
arg type int, defined in function svt_packer :: new |
|
SPECULATIVE_RXSACTIVE_ASSERTED_TXLA_RXLA_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SPECULATIVE_RXSACTIVE_ASSERTION_TO_DEASSERTION_CLOCK_CYCLES |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SPECULATIVE_TXSACTIVE_ASSERTED_TXLA_RXLA_STATE |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
SPECULATIVE_TXSACTIVE_ASSERTION_TO_DEASSERTION_CLOCK_CYCLES |
enum value |
member of svt_chi_link_status :: link_activity_type_enum |
|
spell_check |
function |
function, defined in class uvm_resource_pool,
returns type bit |
|
spell_chk |
function arg |
arg type bit, defined in function uvm_config_db :: exists |
|
split_leaf_path_from_top_level |
function |
function, defined in class svt_debug_opts,
returns type bit |
|
sprint |
function |
function, defined in class uvm_object,
returns type string |
| sprint |
attribute |
attribute type bit, defined in class uvm_printer_knobs |
|
sqr_rsp_analysis_fifo |
attribute |
attribute type uvm_sequencer_analysis_fifo, defined in class uvm_sequencer_param_base |
|
src_id |
attribute |
attribute type rand bit [(SVT_CHI_SRC_ID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
STANDARD_DATA_FORMAT |
enum value |
member of svt_chi_node_configuration :: chi_data_format_enum |
|
start |
function arg |
arg type uvm_component, defined in function uvm_utils :: find_all |
| start |
function arg |
arg type uvm_component, defined in function uvm_utils :: find |
| start |
task |
defined in class uvm_sequence_base |
| start |
function |
function, defined in class uvm_heartbeat,
returns type void |
|
start_addr |
function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: save_mem |
| start_addr |
function arg |
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: load_mem |
| start_addr |
attribute |
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_domain_item |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_hn_addr_range |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_mn_addr_range |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: set_addr_for_domain |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_hn_addr_range |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_mn_addr_range |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_addr_range |
| start_addr |
function arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: set_addr_for_domain |
| start_addr |
attribute |
attribute type rand addr_t, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| start_addr |
attribute |
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
|
start_addr_status |
attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
|
start_debug_verbosity |
function |
function, defined in class svt_debug_opts,
returns type void |
|
start_default_sequence |
task |
defined in class uvm_sequencer_base |
|
start_fifo_update_every_clock |
task |
defined in class svt_amba_fifo_rate_control |
|
start_finite_timer |
function |
function, defined in class svt_timer,
returns type void |
|
start_infinite_timer |
function |
function, defined in class svt_timer,
returns type void |
|
start_item |
task |
defined in class uvm_sequence_base |
| start_item |
task |
defined in class svt_reactive_sequence |
|
start_ix |
attribute |
attribute type protected int, defined in class svt_sequence_item_iter |
| start_ix |
function arg |
arg type int, defined in function svt_sequence_item_iter :: new |
| start_ix |
function arg |
arg type int, defined in function svt_sequence_item_iter :: initialize |
|
start_logging |
function |
function, defined in class svt_logger,
returns type void |
|
start_of_simulation |
function |
function, defined in class uvm_component,
returns type void |
|
start_of_simulation_ph |
attribute |
attribute type uvm_phase, defined in global |
|
start_of_simulation_phase |
function |
function, defined in class uvm_component,
returns type void |
|
start_offset |
attribute |
attribute type rand bit [63:0] , defined in class uvm_mem_mam_cfg |
| start_offset |
function arg |
arg type bit [63:0] , defined in function uvm_mem_region :: new |
| start_offset |
attribute |
attribute type rand bit [63:0] , defined in class uvm_mem_mam_policy |
| start_offset |
function arg |
arg type bit [63:0] , defined in function uvm_mem_mam :: reserve_region |
|
start_performance_monitoring |
function |
function, defined in class svt_chi_node_perf_status,
returns type bit |
|
start_phase_sequence |
function |
function, defined in class uvm_sequencer_base,
returns type void |
|
start_time |
attribute |
attribute type realtime, defined in class svt_pa_object_data |
| start_time |
attribute |
attribute type real, defined in class svt_exception |
| start_time |
function arg |
arg type real, defined in function svt_exception :: set_start_time |
| start_time |
function arg |
arg type ref time, defined in function svt_sequence_item :: get_clean_times |
| start_time |
function arg |
arg type ref realtime, defined in function svt_sequence_item :: get_clean_realtimes |
|
start_time_for_throughput |
attribute |
attribute type real, defined in class svt_amba_perf_calc_base |
|
start_timer |
function |
function, defined in class svt_timer,
returns type void |
| start_timer |
function |
function, defined in class svt_triggered_timer,
returns type void |
|
start_up_level |
attribute |
attribute type rand svt_fifo_rate_control_configuration :: fifo_start_up_level_enum, defined in class svt_fifo_rate_control_configuration |
|
start_update_thread |
function |
function, defined in class uvm_reg_backdoor,
returns type void |
|
STARTED |
attribute |
attribute type uvm_event, defined in class svt_timer |
| STARTED |
enum value |
member of svt_sequence_item :: notifications_e |
|
starting_phase |
attribute |
attribute type uvm_phase, defined in class uvm_sequence_base |
|
startup |
function |
function, defined in class svt_callback,
returns type void |
| startup |
function |
function, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback,
returns type void |
|
STASH |
enum value |
member of svt_chi_snoop_transaction :: xact_category_enum |
|
stash_data_pull_enable |
function arg |
arg type bit, defined in function svt_chi_system_configuration :: set_hn_stash_data_pull_enable |
|
stash_data_pull_integrity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check |
|
stash_enable |
function arg |
arg type bit, defined in function svt_chi_system_configuration :: set_hn_stash_enable |
|
stash_group_id |
attribute |
attribute type rand bit [(SVT_CHI_STASHGROUPID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
stash_lpid |
attribute |
attribute type rand bit [(SVT_CHI_STASH_LPID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
stash_lpid_valid |
attribute |
attribute type rand bit, defined in class svt_chi_common_transaction |
|
stash_nid |
attribute |
attribute type rand bit [(SVT_CHI_STASH_NID_WIDTH-1):0], defined in class svt_chi_common_transaction |
|
stash_nid_valid |
attribute |
attribute type rand bit, defined in class svt_chi_common_transaction |
|
stash_snoop_resp_has_data_xfer |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snoop_resp_PD |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snpreq_donotdatapull |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snpresp_datapull_read_dataresp_final_state |
attribute |
attribute type svt_chi_common_transaction :: cache_state_enum, defined in class svt_chi_system_transaction |
|
stash_snpresp_datapull_read_dataresp_is_dmt_used |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snpresp_datapull_read_dataresp_is_respsepdata_datasepresp_flow_used |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snpresp_datapull_read_dataresp_passdirty |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
stash_snpresp_datapull_read_resp |
attribute |
attribute type bit [(SVT_CHI_DATA_PULL_WIDTH-1):0], defined in class svt_chi_system_transaction |
|
STASHDONE |
enum value |
member of svt_chi_common_transaction :: rsp_msg_type_enum |
|
STASHDONE_COMP |
enum value |
member of svt_chi_transaction :: comp_stashdone_responses_order_enum |
|
stashdone_to_comp_flit_delay |
attribute |
attribute type rand int, defined in class svt_chi_transaction |
|
STASHONCESEPSHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
stashoncesepshared_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashoncesepshared_associated_comp_response_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashoncesepshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| stashoncesepshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
STASHONCESEPUNIQUE |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
stashoncesepunique_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashoncesepunique_associated_comp_response_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashoncesepunique_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| stashoncesepunique_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
STASHONCESHARED |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
stashonceshared_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashonceshared_associated_comp_response_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashonceshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| stashonceshared_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
STASHONCEUNIQUE |
enum value |
member of svt_chi_common_transaction :: xact_type_enum |
|
stashonceunique_associated_comp_response_legal_cache_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashonceunique_associated_comp_response_legal_resperr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
stashonceunique_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence |
| stashonceunique_wt |
attribute |
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence |
|
stat |
function |
function, defined in global,
returns type int |
|
state |
function arg |
arg type uvm_phase_state, defined in function uvm_phase :: traverse |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_phase :: clear |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_phase :: clear_successors |
| state |
task arg |
arg type uvm_phase_state, defined in task uvm_phase :: wait_for_state |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_task_phase :: traverse |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_task_phase :: m_traverse |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_bottomup_phase :: traverse |
| state |
function arg |
arg type uvm_phase_state, defined in function uvm_topdown_phase :: traverse |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm :: set_start_state |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm :: set_reset_state |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm :: set_done_state |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm_state_base :: m_add_next_state |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm_state_callback :: leaving |
| state |
function arg |
arg type svt_fsm_state_base, defined in function svt_fsm_state_callback :: entering |
|
state_changed |
attribute |
attribute type event, defined in class svt_chi_link_txla_fsm |
| state_changed |
attribute |
attribute type event, defined in class svt_chi_link_rxla_fsm |
| state_changed |
attribute |
attribute type event, defined in class svt_chi_link_sysco_interface_fsm |
|
state_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
state_mask |
task arg |
arg type int unsigned, defined in task uvm_sequence_base :: wait_for_sequence_state |
|
state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_fsm_state_base :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_txla_stop_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_txla_activate_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_txla_run_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_txla_deactivate_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_rxla_stop_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_rxla_activate_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_rxla_run_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_rxla_deactivate_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_sysco_coherency_disabled_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_sysco_coherency_connect_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_sysco_coherency_enabled_state :: m_incoming_states |
| state_q |
function arg |
arg type ref svt_fsm_state_base, defined in function svt_chi_link_sysco_coherency_disconnect_state :: m_incoming_states |
|
state_transition |
task |
defined in class svt_fsm_state_base |
| state_transition |
task |
defined in class svt_chi_link_txla_stop_state |
| state_transition |
task |
defined in class svt_chi_link_txla_activate_state |
| state_transition |
task |
defined in class svt_chi_link_txla_run_state |
| state_transition |
task |
defined in class svt_chi_link_txla_deactivate_state |
| state_transition |
task |
defined in class svt_chi_link_rxla_stop_state |
| state_transition |
task |
defined in class svt_chi_link_rxla_activate_state |
| state_transition |
task |
defined in class svt_chi_link_rxla_run_state |
| state_transition |
task |
defined in class svt_chi_link_rxla_deactivate_state |
| state_transition |
task |
defined in class svt_chi_link_sysco_coherency_disabled_state |
| state_transition |
task |
defined in class svt_chi_link_sysco_coherency_connect_state |
| state_transition |
task |
defined in class svt_chi_link_sysco_coherency_enabled_state |
| state_transition |
task |
defined in class svt_chi_link_sysco_coherency_disconnect_state |
|
state_transition_options_enum |
enum typedef |
defined in class svt_fsm_state_base |
|
static_fsm_thread_enabled |
function |
function, defined in class svt_fsm_state_base,
returns type bit |
|
static_rand_mode |
function |
function, defined in class svt_configuration,
returns type int |
| static_rand_mode |
function |
function, defined in class svt_fifo_rate_control_configuration,
returns type int |
| static_rand_mode |
function |
function, defined in class svt_chi_system_configuration,
returns type int |
| static_rand_mode |
function |
function, defined in class svt_chi_address_configuration,
returns type int |
| static_rand_mode |
function |
function, defined in class svt_chi_node_configuration,
returns type int |
| static_rand_mode |
function |
function, defined in class svt_chi_interconnect_configuration,
returns type int |
|
stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_slave_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_read_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_read_tag_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_write_tag_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_req_order_stream_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_compack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snp_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_xact_type_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_trace_tag_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_invalid_lcredit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_range_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_tx_illegal_state_transition :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rx_illegal_state_transition :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readspec_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicload_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_persist_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readclean_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_dvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_evict_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readonce_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_compdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_invalid_req_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_invalid_snp_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_invalid_data_flit_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_port_interleaving_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check :: direct_override |
| stats |
function arg |
arg type svt_err_check_stats, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check :: direct_override |
|
status |
attribute |
attribute type bit, defined in class uvm_status_container |
| status |
function |
function, defined in class uvm_component,
returns type string |
| status |
function arg |
arg type uvm_tlm_response_status_e, defined in function uvm_tlm_generic_payload :: set_response_status |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_field :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_field :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_field :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_field :: peek |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_field :: mirror |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg_field :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg_field :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg_field :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg_field :: peek |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_field :: post_write |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_field :: post_read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: peek |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: update |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: mirror |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg :: XreadX |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_vreg :: peek |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg :: post_write |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg :: post_read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: update |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: mirror |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: write_reg_by_name |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: read_reg_by_name |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: write_mem_by_name |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_block :: read_mem_by_name |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: burst_write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: burst_read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem :: peek |
| status |
attribute |
attribute type uvm_status_e, defined in class uvm_reg_item |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: write_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: read_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: poke_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: peek_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: update_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: mirror_reg |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: write_mem |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: read_mem |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: poke_mem |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_sequence :: peek_mem |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: peek |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: update |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_indirect_data :: mirror |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_field_cbs :: post_write |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_field_cbs :: post_read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_reg_fifo :: update |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: burst_write |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: burst_read |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: poke |
| status |
task arg |
arg type output uvm_status_e, defined in task uvm_mem_region :: peek |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_cbs :: post_write |
| status |
task arg |
arg type ref uvm_status_e, defined in task uvm_vreg_cbs :: post_read |
| status |
attribute |
attribute type string, defined in class svt_pa_object_data |
| status |
function arg |
arg type string, defined in function svt_vip_writer :: object_create |
| status |
function arg |
arg type bit, defined in function svt_debug_vip_descriptor :: record_debug_property |
| status |
function arg |
arg type bit, defined in function svt_debug_opts :: record_debug_property |
| status |
function arg |
arg type ref svt_sequence_item :: status_enum, defined in function svt_sequence_item :: get_xact_status |
| status |
attribute |
attribute type svt_sequence_item :: status_enum, defined in class svt_8b10b_data |
| status |
attribute |
attribute type svt_sequence_item :: status_enum, defined in class svt_chi_protocol_service |
| status |
attribute |
attribute type svt_sequence_item :: status_enum, defined in class svt_chi_link_service |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_slave_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_read_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_read_tag_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_write_tag_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check |
| status |
covergroup |
defined in class svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_req_order_stream_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_compack_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snp_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_retry_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_xact_type_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_trace_tag_validity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check |
| status |
covergroup |
defined in class svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_invalid_lcredit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_range_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_tx_illegal_state_transition |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rx_illegal_state_transition |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readspec_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicload_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_persist_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readclean_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makeunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_dvmop_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_evict_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readonce_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compack_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_comp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_compdata_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_invalid_req_flit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_invalid_snp_flit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_invalid_data_flit_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_port_interleaving_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check |
| status |
covergroup |
defined in class svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check |
|
status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_slave_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_read_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_read_tag_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_write_tag_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_req_order_stream_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_compack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snp_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_retry_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_xact_type_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_trace_tag_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_invalid_lcredit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_range_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_tx_illegal_state_transition :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rx_illegal_state_transition :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readspec_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicload_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_persist_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readclean_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_dvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_evict_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readonce_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_comp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_compdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_invalid_req_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_invalid_snp_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_invalid_data_flit_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_port_interleaving_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check :: sample_status |
| status_bit |
function arg |
arg type bit, defined in function svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check :: sample_status |
|
status_enum |
enum typedef |
defined in class svt_sequence_item |
|
status_obj |
attribute |
attribute type svt_chi_system_status, defined in class svt_chi_system_virtual_sequencer |
| status_obj |
function arg |
arg type ref svt_status, defined in function svt_chi_system_virtual_sequencer :: get_status_obj |
|
stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: find_by_name |
| stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: find |
| stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: m_find_predecessor |
| stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: m_find_successor |
| stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: m_find_predecessor_by_name |
| stay_in_scope |
function arg |
arg type bit, defined in function uvm_phase :: m_find_successor_by_name |
|
step_protocol_clock |
task |
defined in class svt_amba_fifo_rate_control |
|
stop |
task |
defined in class uvm_component |
| stop |
function |
function, defined in class uvm_heartbeat,
returns type void |
|
stop_dynamic_rate_timer |
task |
defined in class svt_amba_fifo_rate_control |
|
stop_perf_timers |
attribute |
attribute type bit, defined in class svt_chi_node_pmu |
|
stop_performance_monitoring |
function |
function, defined in class svt_chi_node_perf_status,
returns type bit |
| stop_performance_monitoring |
function |
function, defined in class svt_chi_node_pmu,
returns type void |
|
stop_phase |
task |
defined in class uvm_component |
|
stop_request |
function |
function, defined in class uvm_root,
returns type void |
| stop_request |
function |
function, defined in class uvm_test_done_objection,
returns type void |
|
stop_sequences |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| stop_sequences |
function |
function, defined in class uvm_sequencer,
returns type void |
|
stop_snp_lcrd_xmission_when_txla_not_in_run_state |
attribute |
attribute type bit, defined in class svt_chi_node_configuration |
|
stop_stimulus_generation |
function |
function, defined in class uvm_random_stimulus,
returns type void |
|
stop_timeout |
attribute |
attribute type time, defined in class uvm_test_done_objection |
|
stop_timer |
function |
function, defined in class svt_timer,
returns type void |
|
STOPPED |
attribute |
attribute type uvm_event, defined in class svt_timer |
| STOPPED |
enum value |
member of global items uvm_sequence_state |
|
store_addr |
task arg |
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_barrier_sequence :: send_post_barrier_store |
|
store_causal_ref |
function |
function, defined in class svt_sequence_item,
returns type void |
|
store_context |
function |
function, defined in class svt_sequence_item,
returns type void |
|
store_data |
attribute |
attribute type store_data_type, defined in class svt_chi_rn_go_noncoherent_sequence |
|
store_data_val |
task arg |
arg type bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in task svt_chi_system_barrier_sequence :: send_post_barrier_store |
|
STORE_FROM_RN2_BEFORE_LOAD_FROM_RN1 |
enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum |
|
STORE_FROM_RN2_BEFORE_LOAD_FROM_RN1_TGT_SAME_CACHELINE |
enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum |
|
store_original_ref |
function |
function, defined in class svt_sequence_item,
returns type void |
|
STORE_RETRIED |
enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: p_crd_return_for_store_enum |
|
STORE_RETRIED_AND_CANCELLED |
enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: p_crd_return_for_store_enum |
|
store_trace |
function |
function, defined in class svt_sequence_item,
returns type void |
|
store_types |
attribute |
attribute type svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_system_multi_node_random_virtual_sequence |
|
str |
function arg |
arg type string, defined in function uvm_report_server :: f_display |
| str |
function arg |
arg type string, defined in function glboal :: uvm_dpi_regexec |
| str |
function arg |
arg type string, defined in function glboal :: uvm_re_match |
| str |
function arg |
arg type string, defined in function glboal :: uvm_is_match |
| str |
function arg |
arg type string, defined in function glboal :: uvm_string_to_bits |
| str |
function arg |
arg type logic [0:0] , defined in function glboal :: uvm_bits_to_string |
| str |
function arg |
arg type string, defined in function glboal :: uvm_split_string |
|
str__ |
function arg |
arg type string, defined in function uvm_recorder :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_object :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_class_pair :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_built_in_pair :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_sequence_library_cfg :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_sequence_library :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_tlm_generic_payload :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_field :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_vreg_field :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_item :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_map :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_tlm_adapter :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_backdoor :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_read_only_cbs :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_write_only_cbs :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_hw_reset_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_single_bit_bash_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_bit_bash_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_mem_single_walk_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_mem_walk_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_mem_single_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_mem_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_single_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_mem_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_shared_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_mem_shared_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_mem_shared_access_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_mem_built_in_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function uvm_reg_mem_hdl_paths_seq :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_amba_pv_extension :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_rn_transaction_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_sn_transaction_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_ic_sn_transaction_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_rn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_ic_sn_snoop_transaction_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_rn_virtual_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_ic_rn_virtual_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_sn_virtual_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_ic_sn_virtual_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function chi_rn_barrier_directed_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function chi_rn_directed_noncoherent_xact_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function chi_rn_barrier_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_tlm_generic_payload_sequencer :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_reg_adapter :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_system_monitor :: __m_uvm_field_automation |
| str__ |
function arg |
arg type string, defined in function svt_chi_interconnect :: __m_uvm_field_automation |
|
str_initiating_rn_node_indices |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence |
|
str_node_info |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| str_node_info |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
| str_node_info |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence |
| str_node_info |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence |
| str_node_info |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence |
|
str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_f_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence |
| str_rn_node |
attribute |
attribute type string, defined in class svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
|
stream |
function arg |
arg type integer, defined in function uvm_recorder :: begin_tr |
| stream |
function arg |
arg type string, defined in function uvm_transaction :: enable_recording |
|
stream_attr_name |
function arg |
arg type string, defined in function svt_sequence_item_base :: save_prop_vals_to_fsdb |
|
stream_attr_val |
function arg |
arg type string, defined in function svt_sequence_item_base :: save_prop_vals_to_fsdb |
|
stream_name |
function arg |
arg type string, defined in function uvm_component :: begin_tr |
| stream_name |
function arg |
arg type string, defined in function uvm_component :: begin_child_tr |
| stream_name |
function arg |
arg type string, defined in function uvm_component :: do_begin_tr |
| stream_name |
function arg |
arg type string, defined in function uvm_component :: record_error_tr |
| stream_name |
function arg |
arg type string, defined in function uvm_component :: record_event_tr |
| stream_name |
function arg |
arg type string, defined in function uvm_component :: m_begin_tr |
|
streaming_ordered_combined_writenosnp_cmo_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
streaming_ordered_combined_writeunique_cmo_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
streaming_ordered_writenosnp_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
streaming_ordered_writeunique_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
string_in |
function arg |
arg type string, defined in function glboal :: uvm_oneway_hash |
|
stringv |
attribute |
attribute type string, defined in class uvm_status_container |
|
strip_array_element_suffix |
function |
function, defined in class svt_sequence_item_base,
returns type bit |
|
strtab |
function arg |
arg type tab_t, defined in function uvm_spell_chkr :: check |
|
sub_cfgs |
function arg |
arg type ref svt_configuration, defined in function svt_configuration :: find_sub_configurations |
|
sub_group |
attribute |
attribute type protected string, defined in class svt_err_check_stats |
| sub_group |
function arg |
arg type string, defined in function svt_err_check_stats :: new |
|
submap |
function arg |
arg type uvm_reg_map, defined in function uvm_reg_map :: set_submap_offset |
| submap |
function arg |
arg type uvm_reg_map, defined in function uvm_reg_map :: get_submap_offset |
|
success |
function arg |
arg type bit, defined in function svt_sequence_item_base :: display_get_prop_val_outcome |
| success |
function arg |
arg type bit, defined in function svt_sequence_item_base :: display_set_prop_val_outcome |
|
successful_exclusive_store_ack_pending |
attribute |
attribute type protected bit, defined in class svt_chi_exclusive_monitor |
|
successor_object_uid |
function arg |
arg type string, defined in function svt_vip_writer :: add_object_successor |
|
successor_object_uids |
function arg |
arg type string, defined in function svt_vip_writer :: add_object_successors |
|
successor_writer |
function arg |
arg type svt_vip_writer, defined in function svt_vip_writer :: add_object_successor |
| successor_writer |
function arg |
arg type svt_vip_writer, defined in function svt_vip_writer :: add_object_successors |
|
suffix |
function arg |
arg type string, defined in function svt_fsm_state_base :: save_to_xml |
|
suite |
function arg |
arg type string, defined in function svt_logger :: start_logging |
|
suite_name |
function arg |
arg type string, defined in function svt_vip_writer :: new |
| suite_name |
function arg |
arg type string, defined in function svt_configuration :: new |
| suite_name |
function arg |
arg type string, defined in function svt_exception :: new |
| suite_name |
function arg |
arg type string, defined in function svt_exception_list :: new |
| suite_name |
function arg |
arg type string, defined in function svt_err_check_stats :: new |
| suite_name |
function arg |
arg type string, defined in function svt_err_check :: new |
| suite_name |
function arg |
arg type string, defined in function svt_event_pool :: new |
| suite_name |
function arg |
arg type string, defined in function svt_status :: new |
| suite_name |
attribute |
attribute type protected string, defined in class svt_timer |
| suite_name |
function arg |
arg type string, defined in function svt_timer :: new |
| suite_name |
function arg |
arg type string, defined in function svt_triggered_timer :: new |
| suite_name |
function arg |
arg type string, defined in function svt_sequence_item :: new |
| suite_name |
function arg |
arg type string, defined in function svt_sequence_item_report :: new |
| suite_name |
function arg |
arg type string, defined in function svt_8b10b_data :: new |
| suite_name |
function arg |
arg type string, defined in function svt_uvm_cmd_assistant :: new |
| suite_name |
function arg |
arg type string, defined in function svt_callback :: new |
| suite_name |
function arg |
arg type string, defined in function svt_component :: new |
| suite_name |
function arg |
arg type string, defined in function svt_driver :: new |
| suite_name |
function arg |
arg type string, defined in function svt_reactive_driver :: new |
| suite_name |
function arg |
arg type string, defined in function svt_monitor :: new |
| suite_name |
function arg |
arg type string, defined in function svt_uvm_monitor :: new |
| suite_name |
function arg |
arg type string, defined in function svt_sequencer :: new |
| suite_name |
function arg |
arg type string, defined in function svt_reactive_sequencer :: new |
| suite_name |
function arg |
arg type string, defined in function svt_agent :: new |
| suite_name |
function arg |
arg type string, defined in function svt_env :: new |
| suite_name |
function arg |
arg type string, defined in function svt_sequence :: new |
| suite_name |
function arg |
arg type string, defined in function svt_broadcast_sequence :: new |
| suite_name |
function arg |
arg type string, defined in function svt_sequence_library :: new |
| suite_name |
function arg |
arg type string, defined in function svt_mem :: new |
| suite_name |
function arg |
arg type string, defined in function svt_fifo_rate_control_configuration :: new |
| suite_name |
function arg |
arg type string, defined in function svt_fifo_rate_control :: new |
| suite_name |
function arg |
arg type string, defined in function svt_traffic_profile_transaction :: new |
| suite_name |
function arg |
arg type string, defined in function svt_amba_fifo_rate_control_configuration :: new |
| suite_name |
function arg |
arg type string, defined in function svt_axi_cache :: new |
|
suite_spec |
function arg |
arg type string, defined in function svt_sequence_item_base :: new |
| suite_spec |
function arg |
arg type string, defined in function svt_reactive_sequence :: new |
|
summarize |
function |
function, defined in class uvm_report_handler,
returns type void |
| summarize |
function |
function, defined in class uvm_report_server,
returns type void |
|
summarize_report_catcher |
function |
function, defined in class uvm_report_catcher,
returns type void |
|
summary_group |
function arg |
arg type string, defined in function svt_sequence_item_report :: record_xact |
| summary_group |
function arg |
arg type string, defined in function svt_sequence_item_report :: set_impl_display_depth |
| summary_group |
function arg |
arg type string, defined in function svt_sequence_item_report :: set_trace_display_depth |
|
summary_impl_display_depth |
attribute |
attribute type protected int, defined in class svt_sequence_item_report |
|
summary_trace_display_depth |
attribute |
attribute type protected int, defined in class svt_sequence_item_report |
|
super_home_node_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
super_type |
class typedef |
defined in class uvm_typed_callbacks |
| super_type |
class typedef |
defined in class uvm_callbacks |
|
supported_methods_flag |
function arg |
arg type int unsigned, defined in function svt_sequence_item_base :: add_pattern_prop |
| supported_methods_flag |
function arg |
arg type int unsigned, defined in function svt_sequence_item_base :: add_compound_pattern_prop |
|
supports_byte_enable |
attribute |
attribute type bit, defined in class uvm_reg_adapter |
|
suspend |
task |
defined in class uvm_component |
|
SUSPEND_ALL_LCRD |
enum value |
member of svt_chi_link_service :: service_type_enum |
|
suspend_comp_ack |
attribute |
attribute type bit, defined in class svt_chi_rn_transaction |
|
SUSPEND_DAT_LCRD |
enum value |
member of svt_chi_link_service :: service_type_enum |
|
SUSPEND_LCRD_ACTIVE |
enum value |
member of svt_chi_link_status :: lcrd_suspend_resume_status_enum |
|
SUSPEND_LCRD_COMPLETED |
enum value |
member of svt_chi_link_status :: lcrd_suspend_resume_status_enum |
|
SUSPEND_REQ_LCRD |
enum value |
member of svt_chi_link_service :: service_type_enum |
|
suspend_response |
attribute |
attribute type bit, defined in class svt_chi_sn_transaction |
|
SUSPEND_RESUME_INITIAL |
enum value |
member of svt_chi_common_transaction :: chi_suspend_resume_status_enum |
|
SUSPEND_RSP_LCRD |
enum value |
member of svt_chi_link_service :: service_type_enum |
|
SUSPEND_SNP_LCRD |
enum value |
member of svt_chi_link_service :: service_type_enum |
|
suspend_wr_data |
attribute |
attribute type bit, defined in class svt_chi_rn_transaction |
|
suspend_wr_data_status |
attribute |
attribute type svt_chi_common_transaction :: chi_suspend_resume_status_enum, defined in class svt_chi_transaction |
|
SUSPENDED |
enum value |
member of svt_chi_common_transaction :: chi_suspend_resume_status_enum |
|
svc_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_protocol |
| svc_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_rn_link |
| svc_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_sn_link |
| svc_seq_item_port |
attribute |
attribute type uvm_seq_item_pull_port, defined in class svt_chi_sn_protocol |
|
svt_8b10b_data |
class |
|
|
svt_accept_realtime |
attribute |
attribute type realtime, defined in class svt_sequence_item |
|
svt_agent |
class |
|
|
SVT_AHB_MAX_NUM_SYSTEMS |
macro |
|
|
svt_amba_addr_mapper |
class |
|
|
SVT_AMBA_AHB_INTERFACE |
macro |
|
|
SVT_AMBA_APB_INTERFACE |
macro |
|
|
SVT_AMBA_AXI_INTERFACE |
macro |
|
|
SVT_AMBA_CHI_INTERFACE |
macro |
|
|
svt_amba_common_uvm_pkg |
class |
|
|
SVT_AMBA_DATA_OBJ_CREATE |
macro |
|
|
SVT_AMBA_DATA_UTIL_GET_PROP_VAL_PACKED_ARRAY |
macro |
|
|
SVT_AMBA_DATA_UTIL_SET_PACKED_ARRAY |
macro |
|
|
svt_amba_debug |
macro |
|
|
SVT_AMBA_ERR_CHECK_STATS |
macro |
|
|
SVT_AMBA_ERR_CHECK_STATS_NOT_USED |
macro |
|
|
svt_amba_fifo_rate_control |
class |
|
|
svt_amba_fifo_rate_control_configuration |
class |
|
|
SVT_AMBA_GP_MASTER |
macro |
|
|
SVT_AMBA_GP_SLAVE |
macro |
|
|
SVT_AMBA_MEM_MODE_WIDTH |
macro |
|
| SVT_AMBA_MEM_MODE_WIDTH |
macro |
|
|
SVT_AMBA_NONSECURE_ACCESS |
macro |
|
|
SVT_AMBA_NUM_PATH_COV_DEST_NAMES |
macro |
|
|
SVT_AMBA_PATH_COV_DEST_NAMES |
macro |
|
|
svt_amba_perf_calc_base |
class |
|
|
svt_amba_perf_rec_base |
class |
|
|
svt_amba_pv |
class |
|
|
svt_amba_pv_extension |
class |
|
|
svt_amba_pv_response |
class typedef |
defined in global |
|
SVT_AMBA_READ_ACCESS |
macro |
|
|
SVT_AMBA_READ_WRITE_ACCESS |
macro |
|
|
SVT_AMBA_SECURE_ACCESS |
macro |
|
|
SVT_AMBA_SECURE_NONSECURE_ACCESS |
macro |
|
|
SVT_AMBA_system_amba_master_to_slave_access_amba_master_to_slave_access_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AMBA_TOP_CHI_SYSTEM_MONITOR |
macro |
|
|
SVT_AMBA_USE_INTERCONNECT_INST_NAME |
macro |
|
|
svt_amba_verbose |
macro |
|
|
SVT_AMBA_VERSION |
macro |
|
|
SVT_AMBA_WRITE_ACCESS |
macro |
|
|
SVT_APB_MAX_NUM_SYSTEMS |
macro |
|
|
SVT_APPEND |
enum value |
member of global items svt_apprepend |
|
svt_apprepend |
enum typedef |
defined in global |
|
SVT_AXI4_LITE_FIXED_ID |
macro |
|
|
SVT_AXI4_STREAM_trans_TREADY_before_TVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI4_STREAM_trans_TVALID_before_TREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI4_STREAM_trans_TVALID_to_prev_TVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI4_STREAM_trans_TVALID_to_TREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_3_BUFFERABLE_OR_MODIFIABLE_ONLY |
macro |
|
|
SVT_AXI_3_CACHEABLE_BUFFERABLE_BUT_NO_ALLOC |
macro |
|
|
SVT_AXI_3_CACHEABLE_BUT_NO_ALLOC |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_BOTH_RD_WR |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_RD_ONLY |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_BACK_ALLOC_ON_WR_ONLY |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_BOTH_RD_WR |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_RD_ONLY |
macro |
|
|
SVT_AXI_3_CACHEABLE_WR_THRU_ALLOC_ON_WR_ONLY |
macro |
|
|
SVT_AXI_3_NON_CACHEABLE_NON_BUFFERABLE |
macro |
|
|
SVT_AXI_4_ARCACHE_DEVICE_BUFFERABLE |
macro |
|
|
SVT_AXI_4_ARCACHE_DEVICE_NON_BUFFERABLE |
macro |
|
|
SVT_AXI_4_ARCACHE_NORMAL_NON_CACHABLE_BUFFERABLE |
macro |
|
|
SVT_AXI_4_ARCACHE_NORMAL_NON_CACHABLE_NON_BUFFERABLE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_BACK_NO_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_BACK_READ_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_BACK_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_THROUGH_NO_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_THROUGH_READ_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_ARCACHE_WRITE_THROUGH_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_DEVICE_BUFFERABLE |
macro |
|
|
SVT_AXI_4_AWCACHE_DEVICE_NON_BUFFERABLE |
macro |
|
|
SVT_AXI_4_AWCACHE_NORMAL_NON_CACHABLE_BUFFERABLE |
macro |
|
|
SVT_AXI_4_AWCACHE_NORMAL_NON_CACHABLE_NON_BUFFERABLE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_BACK_NO_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_BACK_READ_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_BACK_READ_AND_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_BACK_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_THROUGH_NO_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_THROUGH_READ_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_THROUGH_READ_AND_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_4_AWCACHE_WRITE_THROUGH_WRITE_ALLOCATE |
macro |
|
|
SVT_AXI_ACE_PRINT_PREFIX |
macro |
|
|
SVT_AXI_ACTIVE |
macro |
|
|
SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH |
macro |
|
|
SVT_AXI_ALLOWED_SNOOP_XACT |
macro |
|
|
SVT_AXI_ATOMIC_GEN_SOURCE |
macro |
|
|
SVT_AXI_ATOMIC_TYPE_COMPARE |
macro |
|
|
SVT_AXI_ATOMIC_TYPE_LOAD |
macro |
|
|
SVT_AXI_ATOMIC_TYPE_NON_ATOMIC |
macro |
|
|
SVT_AXI_ATOMIC_TYPE_STORE |
macro |
|
|
SVT_AXI_ATOMIC_TYPE_SWAP |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICCOMPARE |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_ADD |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_CLR |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_EOR |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SET |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SMAX |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_SMIN |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_UMAX |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICLOAD_UMIN |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_ADD |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_CLR |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_EOR |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SET |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SMAX |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_SMIN |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_UMAX |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSTORE_UMIN |
macro |
|
|
SVT_AXI_ATOMIC_XACT_TYPE_ATOMICSWAP |
macro |
|
|
SVT_AXI_AUTO_DVM_COMPLETE_GEN_SOURCE |
macro |
|
|
SVT_AXI_AWUNIQUE_ERROR |
macro |
|
|
SVT_AXI_BACK_TO_BACK_READ_BURST_SEQ |
macro |
|
|
SVT_AXI_BACK_TO_BACK_WRITE_BURST_SEQ |
macro |
|
|
SVT_AXI_BARRIER_PAIR_RD_AFTER_WR_PATTERN_SEQ |
macro |
|
|
SVT_AXI_BARRIER_PAIR_SIMULTAENOUS_RD_WR_PATTERN_SEQ |
macro |
|
|
SVT_AXI_BARRIER_PAIR_WR_AFTER_RD_PATTERN_SEQ |
macro |
|
|
SVT_AXI_BURST_LENGTH_INJECT_ERROR |
macro |
|
|
svt_axi_cache |
class |
|
|
svt_axi_cache_line |
class |
|
|
SVT_AXI_CACHE_LINE_STATE_INVALID |
macro |
|
|
SVT_AXI_CACHE_LINE_STATE_SHAREDCLEAN |
macro |
|
|
SVT_AXI_CACHE_LINE_STATE_SHAREDDIRTY |
macro |
|
|
SVT_AXI_CACHE_LINE_STATE_UNIQUECLEAN |
macro |
|
|
SVT_AXI_CACHE_LINE_STATE_UNIQUEDIRTY |
macro |
|
|
SVT_AXI_CACHE_MODIFIABLE_ONLY |
macro |
|
|
SVT_AXI_CACHE_SHORTHAND_CUST_COMPARE |
macro |
|
|
SVT_AXI_CACHE_SHORTHAND_CUST_COPY |
macro |
|
|
SVT_AXI_CACHE_SNOOP_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_AXI_CMO_CLEANINVALID_ON_WRITE |
macro |
|
|
SVT_AXI_CMO_CLEANINVALIDPOPA_ON_WRITE |
macro |
|
|
SVT_AXI_CMO_CLEANSHARED_ON_WRITE |
macro |
|
|
SVT_AXI_CMO_CLEANSHAREDDEEPPERSIST_ON_WRITE |
macro |
|
|
SVT_AXI_CMO_CLEANSHAREDPERSIST_ON_WRITE |
macro |
|
|
SVT_AXI_COHERENT_EXCL_ACCESS_FAIL |
macro |
|
|
SVT_AXI_COHERENT_EXCL_ACCESS_INITIAL |
macro |
|
|
SVT_AXI_COHERENT_EXCL_ACCESS_PASS |
macro |
|
|
SVT_AXI_COHERENT_READ |
macro |
|
|
SVT_AXI_COHERENT_READ_XACT |
macro |
|
|
SVT_AXI_COHERENT_RESP_TYPE_SHARED_CLEAN |
macro |
|
|
SVT_AXI_COHERENT_RESP_TYPE_SHARED_DIRTY |
macro |
|
|
SVT_AXI_COHERENT_RESP_TYPE_UNIQUE_CLEAN |
macro |
|
|
SVT_AXI_COHERENT_RESP_TYPE_UNIQUE_DIRTY |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANINVALID |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANSHARED |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANSHAREDPERSIST |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_CLEANUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_CMO |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_DVMCOMPLETE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_DVMMESSAGE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_EVICT |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_MAKEINVALID |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_MAKEUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_PREFETCH |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READBARRIER |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READCLEAN |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READNOSNOOP |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READNOTSHAREDDIRTY |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCECLEANINVALID |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READONCEMAKEINVALID |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READSHARED |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_READUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHONCESHARED |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHONCEUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_STASHTRANSLATION |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEBACK |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEBARRIER |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITECLEAN |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEDEFERRABLE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEEVICT |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEFULL_CMO |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITELINEUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITENOSNOOP |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITENOSNPFULL |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEPTL_CMO |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUE |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUEFULLSTASH |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEUNIQUEPTLSTASH |
macro |
|
|
SVT_AXI_COHERENT_TRANSACTION_TYPE_WRITEZERO |
macro |
|
|
SVT_AXI_COHERENT_WRITE |
macro |
|
|
SVT_AXI_COHERENT_WRITE_XACT |
macro |
|
|
SVT_AXI_COHERENT_XACT_BYTES_LESS_THAN_CACHE_LINE_SIZE_ERROR |
macro |
|
|
SVT_AXI_DATA_NON_SECURE_NORMAL |
macro |
|
|
SVT_AXI_DATA_NON_SECURE_PRIVILEGED |
macro |
|
|
SVT_AXI_DATA_SECURE_NORMAL |
macro |
|
|
SVT_AXI_DATA_SECURE_PRIVILEGED |
macro |
|
|
SVT_AXI_DECERR_RESPONSE |
macro |
|
|
SVT_AXI_DOMAIN_TYPE_INNERSHAREABLE |
macro |
|
|
SVT_AXI_DOMAIN_TYPE_NONSHAREABLE |
macro |
|
|
SVT_AXI_DOMAIN_TYPE_OUTERSHAREABLE |
macro |
|
|
SVT_AXI_DOMAIN_TYPE_SYSTEMSHAREABLE |
macro |
|
|
SVT_AXI_EX_EX_EX_NR_SEQ |
macro |
|
|
SVT_AXI_EX_EX_NR_EX_SEQ |
macro |
|
|
SVT_AXI_EX_EX_NR_NR_SEQ |
macro |
|
|
SVT_AXI_EX_NR_EX_EX_SEQ |
macro |
|
|
SVT_AXI_EX_NR_EX_NR_SEQ |
macro |
|
|
SVT_AXI_EX_NR_NR_EX_SEQ |
macro |
|
|
SVT_AXI_EX_NR_NR_NR_SEQ |
macro |
|
|
SVT_AXI_EXCL_MON_INVALID |
macro |
|
|
SVT_AXI_EXCL_MON_RESET |
macro |
|
|
SVT_AXI_EXCL_MON_SET |
macro |
|
|
SVT_AXI_EXCLUDE_ICN_VIP_INTERNAL_ENABLE |
macro |
|
|
SVT_AXI_EXCLUDE_UNSTARTED_XACT |
macro |
|
|
SVT_AXI_EXCLUSIVE_ACCESS_CONDITION |
macro |
|
|
SVT_AXI_EXCLUSIVE_MONITOR_FIFO_DEPTH |
macro |
|
|
SVT_AXI_EXOKAY_RESPONSE |
macro |
|
|
SVT_AXI_FULL_PROTECTION |
macro |
|
|
SVT_AXI_GENERATE_EXCLUSIVE_ACCESS_FOR_READ_ONLY_INTERFACE_ERROR |
macro |
|
|
SVT_AXI_GENERATE_EXCLUSIVE_ACCESS_FOR_WRITE_ONLY_INTERFACE_ERROR |
macro |
|
|
SVT_AXI_GENERATE_READS_FOR_WRITE_ONLY_INTERFACE_ERROR |
macro |
|
|
SVT_AXI_GENERATE_WRITES_FOR_READ_ONLY_INTERFACE_ERROR |
macro |
|
|
SVT_AXI_GET_XACT_END_TIME |
macro |
|
|
SVT_AXI_GET_XACT_START_TIME |
macro |
|
|
SVT_AXI_IC_MASTER_MODPORT |
macro |
|
|
SVT_AXI_IC_SLAVE_MODPORT |
macro |
|
|
svt_axi_if |
interface |
|
|
SVT_AXI_INACTIVE_CHAN_HIGH_VAL |
macro |
|
|
SVT_AXI_INACTIVE_CHAN_LOW_VAL |
macro |
|
|
SVT_AXI_INACTIVE_CHAN_PREV_VAL |
macro |
|
|
SVT_AXI_INACTIVE_CHAN_RAND_VAL |
macro |
|
|
SVT_AXI_INACTIVE_CHAN_X_VAL |
macro |
|
|
SVT_AXI_INACTIVE_CHAN_Z_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_HIGH_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_LOW_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_RAND_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_UNCHANGED_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_X_VAL |
macro |
|
|
SVT_AXI_INACTIVE_WDATA_BYTE_Z_VAL |
macro |
|
|
SVT_AXI_INSTRUCTION_NON_SECURE_NORMAL |
macro |
|
|
SVT_AXI_INSTRUCTION_NON_SECURE_PRIVILEGED |
macro |
|
|
SVT_AXI_INSTRUCTION_SECURE_NORMAL |
macro |
|
|
SVT_AXI_INSTRUCTION_SECURE_PRIVILEGED |
macro |
|
|
SVT_AXI_INTERFACE_ACE |
macro |
|
|
SVT_AXI_INTERFACE_ACE_LITE |
macro |
|
|
SVT_AXI_INTERFACE_AXI3 |
macro |
|
|
SVT_AXI_INTERFACE_AXI4 |
macro |
|
|
SVT_AXI_INTERFACE_AXI4_LITE |
macro |
|
|
SVT_AXI_INTERFACE_AXI4_STREAM |
macro |
|
|
SVT_AXI_INVALID_BAR_DOMAIN_SNOOP_ERROR |
macro |
|
|
SVT_AXI_INVALID_BURST_TYPE_FOR_COHERENT_XACT_ERROR |
macro |
|
|
SVT_AXI_INVALID_START_STATE_CACHE_LINE_ERROR |
macro |
|
|
SVT_AXI_IS_TRANSMITTED_CHANNEL_DATA_STREAM |
macro |
|
|
SVT_AXI_IS_TRANSMITTED_CHANNEL_READ |
macro |
|
|
SVT_AXI_IS_TRANSMITTED_CHANNEL_WRITE |
macro |
|
|
SVT_AXI_L3_CACHE_ALLOCATING_XACTS |
macro |
|
|
SVT_AXI_L3_CACHE_DEALLOCATING_XACTS |
macro |
|
|
SVT_AXI_LEGAL_SNOOP_MAPPING |
macro |
|
|
SVT_AXI_LEGAL_WITH_SNOOP_FILTER_CACHE_LINE_STATE_CHANGE |
macro |
|
|
SVT_AXI_LEGAL_WITHOUT_SNOOP_FILTER_CACHE_LINE_STATE_CHANGE |
macro |
|
|
SVT_AXI_LOCKED_FOLLOWED_BY_EXCL_XACT_SEQ |
macro |
|
|
SVT_AXI_LOG_BASE_2_MIN_ATOMIC_DATA_WIDTH |
macro |
|
|
SVT_AXI_LOG_BASE_2_MIN_DATA_WIDTH |
macro |
|
|
SVT_AXI_LSB |
macro |
|
|
SVT_AXI_MASTER |
macro |
|
|
SVT_AXI_MASTER_IF |
macro |
|
|
SVT_AXI_MASTER_SNOOP_TRANSACTION_LAST_ADDR_PHASE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_BVALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_FIRST_DATA_HANDSHAKE_DATA_BEFORE_ADDR |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_FIRST_WVALID_DATA_BEFORE_ADDR |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_LAST_READ_DATA_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_MANUAL_RREADY_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_ADDR_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_ADDR_VALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_LAST_DATA_HANDSHAKE |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_TVALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_TVALID_TREADY_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_WRITE_DATA_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_WRITE_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_PREV_WVALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_RVALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_SCENARIO_TYPE |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_TYPE |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_WRITE_ADDR_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_WRITE_ADDR_VALID_REF |
macro |
|
|
SVT_AXI_MASTER_TRANSACTION_WRITE_RESP_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_MAX_ACREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_ACVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_ACVALID_TO_CRVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_ADDR_DELAY |
macro |
|
|
SVT_AXI_MAX_ADDR_VALID_DELAY |
macro |
|
|
SVT_AXI_MAX_AXI3_GENERIC_DELAY |
macro |
|
|
SVT_AXI_MAX_BURST_LENGTH_WIDTH_`SVT_AXI_MAX_BURST_LENGTH_WIDTH |
macro |
|
|
SVT_AXI_MAX_BYTE_BOUNDARY_FOR_MASTER_XACT_SPLIT |
macro |
|
|
SVT_AXI_MAX_CACHE_LINE_SIZE |
macro |
|
|
SVT_AXI_MAX_CDREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_CDVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_CHUNK_NUM_WIDTH_`SVT_AXI_MAX_CHUNK_NUM_WIDTH |
macro |
|
|
SVT_AXI_MAX_CHUNK_STROBE_WIDTH_`SVT_AXI_MAX_CHUNK_STROBE_WIDTH |
macro |
|
|
SVT_AXI_MAX_CRREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_CRVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_DATA_WIDTH_`SVT_AXI_MAX_DATA_WIDTH |
macro |
|
|
SVT_AXI_MAX_DVM_COMPLETE_DELAY |
macro |
|
|
SVT_AXI_MAX_IDLE_ADDR_READY_DELAY |
macro |
|
|
SVT_AXI_MAX_IDLE_ADDR_READY_DELAY_ARR_SIZE |
macro |
|
|
SVT_AXI_MAX_IDLE_BREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_IDLE_BREADY_DELAY_ARR_SIZE |
macro |
|
|
SVT_AXI_MAX_IDLE_RREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_IDLE_RREADY_DELAY_ARR_SIZE |
macro |
|
|
SVT_AXI_MAX_IDLE_WREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_IDLE_WREADY_DELAY_ARR_SIZE |
macro |
|
|
SVT_AXI_MAX_NUM_CACHE_LINES |
macro |
|
|
SVT_AXI_MAX_NUM_EXCLUSIVE_ACCESS |
macro |
|
|
SVT_AXI_MAX_NUM_OUTSTANDING_ATOMIC_XACT |
macro |
|
|
SVT_AXI_MAX_NUM_OUTSTANDING_SNOOP_XACT |
macro |
|
|
SVT_AXI_MAX_NUM_OUTSTANDING_XACT |
macro |
|
|
SVT_AXI_MAX_NUM_SYSTEMS |
macro |
|
|
SVT_AXI_MAX_QVN_AR_TOKEN_DELAY_RANGE |
macro |
|
|
SVT_AXI_MAX_QVN_AW_TOKEN_DELAY_RANGE |
macro |
|
|
SVT_AXI_MAX_QVN_W_TOKEN_DELAY_RANGE |
macro |
|
|
SVT_AXI_MAX_RACK_DELAY |
macro |
|
|
SVT_AXI_MAX_READ_DATA_INTERLEAVE_SIZE |
macro |
|
|
SVT_AXI_MAX_READ_DATA_REORDERING_DEPTH |
macro |
|
|
SVT_AXI_MAX_READ_FIFO_DRAIN_RATE |
macro |
|
|
SVT_AXI_MAX_READ_FIFO_FULL_LEVEL |
macro |
|
|
SVT_AXI_MAX_RREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_RVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_SLAVE_TRAFFIC_PROFILE_RATE |
macro |
|
|
SVT_AXI_MAX_SLAVE_TRAFFIC_PROFILE_XACT_SIZE |
macro |
|
|
SVT_AXI_MAX_STREAM_BURST_LENGTH |
macro |
|
|
SVT_AXI_MAX_STREAM_INTERLEAVE_DEPTH |
macro |
|
|
SVT_AXI_MAX_TAGGED_ADDR_WIDTH |
macro |
|
|
SVT_AXI_MAX_TRANSACTION_IDLE_CYCLES |
macro |
|
|
SVT_AXI_MAX_TREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_TVALID_DELAY |
macro |
|
|
SVT_AXI_MAX_VMIDEXT_WIDTH |
macro |
|
|
SVT_AXI_MAX_WACK_DELAY |
macro |
|
|
SVT_AXI_MAX_WR_INTERLEAVE_DEPTH |
macro |
|
|
SVT_AXI_MAX_WREADY_DELAY |
macro |
|
|
SVT_AXI_MAX_WRITE_FIFO_FILL_RATE |
macro |
|
|
SVT_AXI_MAX_WRITE_FIFO_FULL_LEVEL |
macro |
|
|
SVT_AXI_MAX_WRITE_RESP_DELAY |
macro |
|
|
SVT_AXI_MAX_WRITE_RESP_REORDERING_DEPTH |
macro |
|
|
SVT_AXI_MAX_WVALID_DELAY |
macro |
|
|
SVT_AXI_MECID_ATTRIBUTES_WIDTH |
macro |
|
|
SVT_AXI_MEMORY_BARRIER |
macro |
|
|
SVT_AXI_MEMORY_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_AXI_MIN_NUM_CACHE_LINES |
macro |
|
|
SVT_AXI_MIN_WRITE_RESP_DELAY |
macro |
|
|
SVT_AXI_MINI_PRINT |
macro |
|
|
SVT_AXI_MSB |
macro |
|
|
SVT_AXI_NO_SOURCE |
macro |
|
|
SVT_AXI_NORMAL_ACCESS_IGNORE_BARRIER |
macro |
|
|
SVT_AXI_NORMAL_ACCESS_RESPECT_BARRIER |
macro |
|
|
SVT_AXI_NR_EX_EX_EX_SEQ |
macro |
|
|
SVT_AXI_NR_EX_EX_NR_SEQ |
macro |
|
|
SVT_AXI_NR_EX_NR_EX_SEQ |
macro |
|
|
SVT_AXI_NR_EX_NR_NR_SEQ |
macro |
|
|
SVT_AXI_NR_NR_EX_EX_SEQ |
macro |
|
|
SVT_AXI_NR_NR_EX_NR_SEQ |
macro |
|
|
SVT_AXI_NR_NR_NR_EX_SEQ |
macro |
|
|
SVT_AXI_NUM_BLOCKED_XACTS_ALLOWED |
macro |
|
|
SVT_AXI_OKAY_RESPONSE |
macro |
|
|
SVT_AXI_PASSIVE |
macro |
|
|
SVT_AXI_PBHA_ATTRIBUTES_WIDTH |
macro |
|
|
SVT_AXI_PHASE_PRINT_PREFIX |
macro |
|
|
SVT_AXI_PHASE_TYPE_RD_ADDR |
macro |
|
|
SVT_AXI_PHASE_TYPE_RD_DATA |
macro |
|
|
SVT_AXI_PHASE_TYPE_WR_ADDR |
macro |
|
|
SVT_AXI_PHASE_TYPE_WR_DATA |
macro |
|
|
SVT_AXI_PHASE_TYPE_WR_RESP |
macro |
|
|
SVT_AXI_PORT_CFG_DEFAULT_ACE_VERSION |
macro |
|
|
SVT_AXI_PORT_CFG_DEFAULT_FUSA_BUS_PROTECTION_ENABLE |
macro |
|
|
SVT_AXI_PORT_CFG_DEFAULT_FUSA_INTERCONNECT_PROTECTION_ENABLE |
macro |
|
|
SVT_AXI_PORT_CFG_DEFAULT_INTERFACE_TYPE |
macro |
|
|
SVT_AXI_POST_COHERENT_XACT_CACHE_LINE_STATE_CORRUPTION |
macro |
|
|
SVT_AXI_POST_SNOOP_XACT_CACHE_LINE_STATE_CORRUPTION |
macro |
|
|
SVT_AXI_PREFETCHED_DEFER_RESPONSE |
macro |
|
|
svt_axi_print_phase_info |
macro |
|
|
SVT_AXI_PRINT_PREFIX |
macro |
|
|
SVT_AXI_PRINT_PREFIX1 |
macro |
|
|
SVT_AXI_QVN_AR_TOKEN_REQUEST_READY_MAX_TIMEOUT |
macro |
|
|
SVT_AXI_QVN_AW_TOKEN_REQUEST_READY_MAX_TIMEOUT |
macro |
|
|
SVT_AXI_QVN_TRANSACTION_TYPE_READ_ADDR |
macro |
|
|
SVT_AXI_QVN_TRANSACTION_TYPE_WRITE_ADDR |
macro |
|
|
SVT_AXI_QVN_TRANSACTION_TYPE_WRITE_DATA |
macro |
|
|
SVT_AXI_QVN_W_TOKEN_REQUEST_READY_MAX_TIMEOUT |
macro |
|
|
SVT_AXI_RANDOM_BARRIER_XACT_ASSOCIATION |
macro |
|
|
SVT_AXI_RD_RD_RD_RD_SEQ |
macro |
|
|
SVT_AXI_RD_RD_RD_WR_SEQ |
macro |
|
|
SVT_AXI_RD_RD_WR_WR_SEQ |
macro |
|
|
SVT_AXI_RD_WR_RD_WR_SEQ |
macro |
|
|
SVT_AXI_READ_ONLY |
macro |
|
|
SVT_AXI_READ_OOO |
macro |
|
|
SVT_AXI_READ_OOO_SAME_ID_AS_WRITE |
macro |
|
|
SVT_AXI_READ_WRITE |
macro |
|
|
SVT_AXI_RECOMMENDED_CACHE_LINE_STATE_CHANGE |
macro |
|
|
SVT_AXI_RECOMMENDED_SNOOP_XACT |
macro |
|
|
SVT_AXI_RELEVANT_SLAVE_TRANSACTION |
macro |
|
|
SVT_AXI_REORDERING_PRIORITIZED |
macro |
|
|
SVT_AXI_REORDERING_RANDOM |
macro |
|
|
SVT_AXI_REORDERING_ROUND_ROBIN |
macro |
|
|
SVT_AXI_RESET_ALL_XACT |
macro |
|
|
SVT_AXI_RESET_TYPE |
macro |
|
|
SVT_AXI_RRESP_INTERNAL_WIDTH |
macro |
|
|
SVT_AXI_SCENARIO_GEN_SOURCE |
macro |
|
|
SVT_AXI_SET_UNIQ_VALUED_DEFINE |
macro |
|
|
SVT_AXI_SIMPLE_CONVERSION_WITH_PROTECTION |
macro |
|
|
SVT_AXI_SIMPLE_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_AXI_SLAVE |
macro |
|
|
SVT_AXI_SLAVE_IF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_ADDR_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_ADDR_VALID_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_FIRST_WVALID_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_LAST_DATA_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_MANUAL_WREADY_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_PREV_READ_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_PREV_RVALID_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_HANDSHAKE_OF_SAME_XACT_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_HANDSHAKE_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_READ_ADDR_VALID_REF |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_GEN_CALLBACKS |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_GEN_TYPE |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_SCENARIO_TYPE |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_TYPE |
macro |
|
|
SVT_AXI_SLAVE_TRANSACTION_WVALID_REF |
macro |
|
|
SVT_AXI_SLVERR_RESPONSE |
macro |
|
|
SVT_AXI_SNOOP_BURST_LENGTH_16_BEATS |
macro |
|
|
SVT_AXI_SNOOP_BURST_LENGTH_1_BEAT |
macro |
|
|
SVT_AXI_SNOOP_BURST_LENGTH_2_BEATS |
macro |
|
|
SVT_AXI_SNOOP_BURST_LENGTH_4_BEATS |
macro |
|
|
SVT_AXI_SNOOP_BURST_LENGTH_8_BEATS |
macro |
|
|
SVT_AXI_SNOOP_RESP_DATA_TRANSFER_USING_CDDATA |
macro |
|
|
SVT_AXI_SNOOP_RESP_DATA_TRANSFER_USING_WB_WC |
macro |
|
|
SVT_AXI_SNOOP_RESPONSE_TO_SAME_CACHELINE_DURING_MEMORY_UPDATE_ERROR |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_ACVALID |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_EXC_NO_OP_ERROR |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_EXC_USER_DEFINED_ERROR |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_PREV_SNOOP_DATA_HANDSHAKE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_PREV_SNOOP_DATA_VALID |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_SNOOP_ADDR_HANDSHAKE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_SNOOP_RESP_HANDSHAKE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_SNOOP_RESP_VALID |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_CLEANINVALID |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_CLEANSHARED |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_DVMCOMPLETE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_DVMMESSAGE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_MAKEINVALID |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_READCLEAN |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_READNOTSHAREDDIRTY |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_READONCE |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_READSHARED |
macro |
|
|
SVT_AXI_SNOOP_TRANSACTION_TYPE_READUNIQUE |
macro |
|
|
SVT_AXI_STREAM_PRINT_PREFIX |
macro |
|
|
SVT_AXI_STREAM_TREADY_ASSERT_MAX_DELAY |
macro |
|
|
SVT_AXI_STREAM_TREADY_ASSERT_MIN_DELAY |
macro |
|
|
SVT_AXI_STREAM_TREADY_DEASSERT_MAX_DELAY |
macro |
|
|
SVT_AXI_STREAM_TREADY_DEASSERT_MIN_DELAY |
macro |
|
|
SVT_AXI_STREAM_TYPE_BYTE_STREAM |
macro |
|
|
SVT_AXI_STREAM_TYPE_CONTINUOUS_ALIGNED_STREAM |
macro |
|
|
SVT_AXI_STREAM_TYPE_CONTINUOUS_UNALIGNED_STREAM |
macro |
|
|
SVT_AXI_STREAM_TYPE_SPARSE_STREAM |
macro |
|
|
SVT_AXI_STREAM_TYPE_USER_STREAM |
macro |
|
|
SVT_AXI_SYNC_BARRIER |
macro |
|
|
SVT_AXI_system_axi_master_to_slave_access_axi_master_to_slave_access_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_TRANASCTION_INTERLEAVE_RANDOM_BLOCK |
macro |
|
|
SVT_AXI_trans_axi_snoop_ACREADY_before_ACVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_ACVALID_before_ACREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_ACVALID_to_ACREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_ACVALID_to_CRVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_ACVALID_to_prev_ACVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CDREADY_before_CDVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CDVALID_before_CDREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CDVALID_to_CDREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CDVALID_to_prev_CDVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CRREADY_before_CRVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CRVALID_before_CRREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CRVALID_to_CRREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_axi_snoop_CRVALID_to_prev_CRVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWREADY_before_AWVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWREADY_before_WVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_before_AWREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_before_WREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_before_WVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_to_AWREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_to_first_WVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_AWVALID_to_prev_AWVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_BREADY_before_BVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_BVALID_before_BREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_BVALID_to_BREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_last_wdata_handshake_to_BVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WREADY_before_AWVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WREADY_before_WVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WVALID_before_AWREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WVALID_before_AWVALID_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WVALID_before_WREADY_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WVALID_to_prev_WVALID_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_trans_meta_axi_write_WVALID_to_WREADY_Delay_COV_OPTION_AT_LEAST_VAL |
macro |
|
|
SVT_AXI_TRANSACTION_4K_ADDR_RANGE |
macro |
|
|
SVT_AXI_TRANSACTION_ADDR_RANGE_NUM_LSB_BITS |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_FIXED |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_INCR |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_1024 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_128 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_16 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_2048 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_256 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_32 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_4096 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_512 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_64 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_SIZE_8 |
macro |
|
|
SVT_AXI_TRANSACTION_BURST_WRAP |
macro |
|
|
SVT_AXI_TRANSACTION_DATA_STREAM |
macro |
|
|
SVT_AXI_TRANSACTION_EXC_NO_OP_ERROR |
macro |
|
|
SVT_AXI_TRANSACTION_EXC_USER_DEFINED_ERROR |
macro |
|
|
SVT_AXI_TRANSACTION_EXCLUSIVE |
macro |
|
|
SVT_AXI_TRANSACTION_INTERLEAVE_EQUAL_BLOCK |
macro |
|
|
SVT_AXI_TRANSACTION_LOCKED |
macro |
|
|
SVT_AXI_TRANSACTION_NORMAL |
macro |
|
|
SVT_AXI_TRANSACTION_STATE_INITAL |
macro |
|
|
SVT_AXI_TRANSACTION_STATE_TRANSACTION_ABORTED |
macro |
|
|
SVT_AXI_TRANSACTION_STATE_TRANSACTION_COMPLETE |
macro |
|
|
SVT_AXI_TRANSACTION_STATE_TRANSFER_COMPLETE |
macro |
|
|
SVT_AXI_TRANSACTION_STATE_TRANSFER_IN_PROGRESS |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_ATOMIC |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_COHERENT |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_IDLE |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_READ |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_READ_WRITE |
macro |
|
|
SVT_AXI_TRANSACTION_TYPE_WRITE |
macro |
|
|
SVT_AXI_TRANSFAULT_RESPONSE |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_COHERENT |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_DATA_STREAM |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_IDLE |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_READ |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_READ_WRITE |
macro |
|
|
SVT_AXI_TRANSMITTED_CHANNEL_TYPE_WRITE |
macro |
|
|
SVT_AXI_UNSUPPORTED_RESPONSE |
macro |
|
|
SVT_AXI_USER_DEFINED_BARRIER_XACT_ASSOCIATION |
macro |
|
|
SVT_AXI_USER_DVM_COMPLETE_GEN_SOURCE |
macro |
|
|
SVT_AXI_USER_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_AXI_USER_SNOOP_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_0 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_1 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_10 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_11 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_12 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_13 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_14 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_15 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_2 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_3 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_4 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_5 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_6 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_7 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_8 |
macro |
|
|
SVT_AXI_VALID_MASTER_IDX_9 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_0 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_1 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_10 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_11 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_12 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_13 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_14 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_15 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_2 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_3 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_4 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_5 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_6 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_7 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_8 |
macro |
|
|
SVT_AXI_VALID_SLAVE_IDX_9 |
macro |
|
|
SVT_AXI_WR_RD_WR_RD_SEQ |
macro |
|
|
SVT_AXI_WR_WR_RD_RD_SEQ |
macro |
|
|
SVT_AXI_WR_WR_WR_RD_SEQ |
macro |
|
|
SVT_AXI_WR_WR_WR_WR_SEQ |
macro |
|
|
SVT_AXI_WRITE_DATA_FREE_XMIT |
macro |
|
|
SVT_AXI_WRITE_DATA_WAIT_FOR_ADDR |
macro |
|
|
SVT_AXI_WRITE_ONLY |
macro |
|
|
SVT_AXI_WRITE_OOO |
macro |
|
|
SVT_AXI_WRITE_OOO_SAME_ID_AS_READ |
macro |
|
|
SVT_AXI_WRITE_XACT_COUNT_BASE |
macro |
|
|
SVT_AXI_WRITENOSNPFULL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPFULL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPFULL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPFULL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPFULL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPPTL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPPTL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPPTL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPPTL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITENOSNPPTL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEFULL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEFULL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEFULL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEFULL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEPTL_CLEANINVALID_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEPTL_CLEANINVALIDPOPA_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEPTL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEPTL_CLEANSHAREDDEEPPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEPTL_CLEANSHAREDPERSIST_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WRITEUNIQUEULL_CLEANSHARED_WRITE_WITH_CMO_XACT_TYPE |
macro |
|
|
SVT_AXI_WSTRB_INACTIVE_HIGH |
macro |
|
|
SVT_AXI_WSTRB_INACTIVE_LOW |
macro |
|
|
SVT_AXI_WSTRB_INACTIVE_PREV |
macro |
|
|
SVT_AXI_WSTRB_UNALIGNED_START_ADDR |
macro |
|
|
SVT_AXI_XACT_STATUS_ENDED |
macro |
|
|
svt_axi_xxm_debug |
macro |
|
|
svt_axi_xxm_error |
macro |
|
|
svt_axi_xxm_fatal |
macro |
|
|
svt_axi_xxm_note |
macro |
|
|
svt_axi_xxm_verbose |
macro |
|
|
svt_axi_xxm_warning |
macro |
|
|
svt_begin_realtime |
attribute |
attribute type realtime, defined in class svt_sequence_item |
|
svt_broadcast_sequence |
class |
|
|
SVT_C_BASED_SVT_MEM |
macro |
|
|
SVT_CACHE_DIRECT_MAPPED |
macro |
|
|
SVT_CACHE_FULLY_ASSOCIATIVE |
macro |
|
|
SVT_CACHE_TWO_WAY_ASSOCIATIVE |
macro |
|
|
svt_callback |
class |
|
| svt_callback |
class typedef |
defined in global |
|
svt_callbacks |
class |
|
|
svt_check_and_load_verbosity |
function |
function, defined in class svt_sequence_item_base_iter,
returns type void |
| svt_check_and_load_verbosity |
function |
function, defined in class svt_agent,
returns type void |
|
SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MAX_VALUE |
macro |
|
|
SVT_CHI_3_SN_F_STRIPING_TOP_ADDR_BIT_MIN_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MAX_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_0_MIN_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MAX_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_1_MIN_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MAX_VALUE |
macro |
|
|
SVT_CHI_6_SN_F_STRIPING_TOP_ADDR_BIT_2_MIN_VALUE |
macro |
|
|
SVT_CHI_ACELITE_COH_EXCLUSIVE_RD |
macro |
|
|
SVT_CHI_ACELITE_COH_EXCLUSIVE_WR |
macro |
|
|
SVT_CHI_ADDR_IDX_WIDTH |
macro |
|
|
svt_chi_address_configuration |
class |
|
|
SVT_CHI_AIP_COMPLIANCE |
macro |
|
|
SVT_CHI_ALLOWED_SLAVE_CMO_XACT |
macro |
|
|
SVT_CHI_ALLOWED_SNOOP_XACT |
macro |
|
|
SVT_CHI_ALLOWRETRY_WIDTH |
macro |
|
|
SVT_CHI_ASID_WIDTH |
macro |
|
|
SVT_CHI_ATOMIC_GEN_SOURCE |
macro |
|
|
SVT_CHI_ATOMIC_TYPE_SEMANTIC |
macro |
|
|
SVT_CHI_BACK2BACK_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_4_TIMES_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_8_TIMES_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_W_ALLOW_RETRY_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_COPYBACK_TRANS_W_SAME_OR_OVERLAPPING_ADDR_WO_ALLOW_RETRY_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_COPYBACK_TRANSACTION_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_EP_ORDERED_WRITENOSNP_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_N_TIMES_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
svt_chi_back2back_order_type_pattern_sequence |
class |
|
|
SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_ORDERED_WRITENOSNP_W_EXPCOMPACK_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_ORDERED_WRITEUNIQUE_W_EXPCOMPACK_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_EP_ORDERED_WRITENOSNP_PATTERN_SEQ |
macro |
|
|
SVT_CHI_BACK2BACK_REQ_ORDERED_WRITENOSNP_PATTERN_SEQ |
macro |
|
|
svt_chi_back2back_transaction_same_src_id_pattern_sequence |
class |
|
|
svt_chi_base_transaction |
class |
|
| svt_chi_base_transaction |
class typedef |
defined in global |
|
svt_chi_base_transaction_exception |
class |
|
| svt_chi_base_transaction_exception |
class typedef |
defined in global |
|
svt_chi_base_transaction_exception_list |
class |
|
|
SVT_CHI_BASE_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
SVT_CHI_CACHE_LINE_SIZE |
macro |
|
| SVT_CHI_CACHE_LINE_SIZE |
macro |
|
|
SVT_CHI_CACHE_LINE_SIZE_IN_BYTES |
macro |
|
|
SVT_CHI_CACHE_SNOOP_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_CHI_CACHE_STATE_I |
macro |
|
|
SVT_CHI_CACHE_STATE_SC |
macro |
|
|
SVT_CHI_CACHE_STATE_SD |
macro |
|
|
SVT_CHI_CACHE_STATE_UC |
macro |
|
|
SVT_CHI_CACHE_STATE_UCE |
macro |
|
|
SVT_CHI_CACHE_STATE_UD |
macro |
|
|
SVT_CHI_CACHE_STATE_UDP |
macro |
|
|
SVT_CHI_CAH_WIDTH |
macro |
|
|
svt_chi_callback_data |
class |
|
|
svt_chi_cancel_transaction_after_two_normal_transaction_of_same_txnid_pattern_sequence |
class |
|
|
svt_chi_cancel_transaction_between_two_normal_transaction_of_same_txnid_pattern_sequence |
class |
|
|
SVT_CHI_CANCELLED_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_CANCELLED_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_CBUSY_WIDTH |
macro |
|
|
SVT_CHI_CCID_DATA_127_DOWN_TO_0 |
macro |
|
|
SVT_CHI_CCID_DATA_255_DOWN_TO_128 |
macro |
|
|
SVT_CHI_CCID_DATA_383_DOWN_TO_256 |
macro |
|
|
SVT_CHI_CCID_DATA_511_DOWN_TO_384 |
macro |
|
|
SVT_CHI_CCID_WIDTH |
macro |
|
|
SVT_CHI_CLEAN_CURRENT_STATE |
macro |
|
|
SVT_CHI_CLEAN_FINAL_STATE |
macro |
|
|
SVT_CHI_COHERENCY_CONNECT_STATE |
macro |
|
|
SVT_CHI_COHERENCY_DISABLED_STATE |
macro |
|
|
SVT_CHI_COHERENCY_DISCONNECT_STATE |
macro |
|
|
SVT_CHI_COHERENCY_ENABLED_STATE |
macro |
|
|
SVT_CHI_COHERENT_EXCL_ACCESS_FAIL |
macro |
|
|
SVT_CHI_COHERENT_EXCL_ACCESS_INITIAL |
macro |
|
|
SVT_CHI_COHERENT_EXCL_ACCESS_PASS |
macro |
|
|
svt_chi_common_transaction |
class |
|
| svt_chi_common_transaction |
class typedef |
defined in global |
|
svt_chi_common_transaction_exception |
class |
|
| svt_chi_common_transaction_exception |
class typedef |
defined in global |
|
svt_chi_common_transaction_exception_list |
class |
|
|
SVT_CHI_COMMON_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MAX_VALUE |
macro |
|
|
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MID_BIN_VAL_RANGE |
macro |
|
|
SVT_CHI_COMPACK2COMPACK_DELAY_COV_MIN_VALUE |
macro |
|
|
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP |
macro |
|
|
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITENOSNP_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITENOSNP_W_SAME_ADDR |
macro |
|
|
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE |
macro |
|
|
SVT_CHI_COMPACK_FOR_SECOND_OWO_WRITEUNIQUE_BEFORE_COMP_BUT_AFTER_COMP_FOR_FIRST_OWO_WRITEUNQIUE_W_SAME_ADDR |
macro |
|
|
SVT_CHI_COV_MAX_NUM_BACK2BACK_CYCLES_PROTOCOL_FLITV_ASSERTION |
macro |
|
|
SVT_CHI_COV_MPAM_BINS |
macro |
|
|
SVT_CHI_COV_WEIGHT_VAL_0 |
macro |
|
| SVT_CHI_COV_WEIGHT_VAL_0 |
macro |
|
|
SVT_CHI_COV_WEIGHT_VAL_1 |
macro |
|
| SVT_CHI_COV_WEIGHT_VAL_1 |
macro |
|
|
svt_chi_coverage_per_src_id_container |
class |
|
| svt_chi_coverage_per_src_id_container |
class typedef |
defined in global |
|
SVT_CHI_CTRL_REG_NO_ERR |
macro |
|
|
SVT_CHI_D_COV_DBID_BINS |
macro |
|
|
SVT_CHI_D_COV_TXNID_BINS |
macro |
|
|
SVT_CHI_D_OR_EARLIER_SPEC_BEHAVIOR |
macro |
|
|
SVT_CHI_DAT_FLIT |
macro |
|
|
SVT_CHI_DAT_FLIT_DBID_MECID_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_FORMAT_128BIT |
macro |
|
|
SVT_CHI_DAT_FLIT_FORMAT_256BIT |
macro |
|
|
SVT_CHI_DAT_FLIT_FORMAT_512BIT |
macro |
|
|
SVT_CHI_DAT_FLIT_FWDSTATE_DATAPULL_DATASOURCE_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_BE |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_CAH |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_CBUSY |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_CCID |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_DATA |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_DATACHECK |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_DATAID |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_DBID_MECID |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_FWDSTATE_DATAPULL_DATASOURCE |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_HOMENID_PBHA |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_OPCODE |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_POISON |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_QOS |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_RESP |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_RESPERR |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_RSVDC |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_SRCID |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TAG |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TAG_UPDATE |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TAGOP |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TGTID |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TRACETAG |
macro |
|
|
SVT_CHI_DAT_FLIT_LSB_TXNID |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_BE_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_DATA_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_POISON_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_TAG_UPDATE_WIDTH |
macro |
|
|
SVT_CHI_DAT_FLIT_MAX_TAG_WIDTH |
macro |
|
|
SVT_CHI_DAT_FWDSTATE_DATAPULL_WIDTH |
macro |
|
|
SVT_CHI_DAT_RESERVED_FWDSTATE_DATAPULL_WIDTH |
macro |
|
|
SVT_CHI_DAT_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_DAT_USER_EXTN_MAX_WIDTH |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_COMPDATA |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_COPYBACKWRDATA |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_DATASEPRESP |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_DATLINKFLIT |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_NCBWRDATACOMPACK |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_NONCOPYBACKWRDATA |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATA |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAFWDED |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_SNPRESPDATAPTL |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_DAT_VC_FLIT_OP_WRITEDATACANCEL |
macro |
|
|
SVT_CHI_DATA_ID_WIDTH |
macro |
|
|
SVT_CHI_DATA_PULL_WIDTH |
macro |
|
|
SVT_CHI_DATA_SIZE_16BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_1BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_2BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_32BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_4BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_64BYTE |
macro |
|
|
SVT_CHI_DATA_SIZE_8BYTE |
macro |
|
|
SVT_CHI_DATA_SOURCE_WIDTH |
macro |
|
|
SVT_CHI_DATACHECK_INTERNAL_WIDTH_ENABLE |
macro |
|
|
SVT_CHI_DBID_WIDTH |
macro |
|
|
SVT_CHI_DEBUG_PORT_WIDTH |
macro |
|
|
SVT_CHI_DEF_CFG_NUM_CHANNELS |
macro |
|
|
SVT_CHI_DEF_MAX_CHANNELS |
macro |
|
|
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_DVM_SNOOP_XACT |
macro |
|
|
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_NON_DVM_SNOOP_XACT |
macro |
|
|
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_SNOOP_XACT |
macro |
|
|
SVT_CHI_DEF_MAX_NUM_OUTSTANDING_XACT |
macro |
|
|
SVT_CHI_DEFAULT_ADV_CURR_LCRD_HIGH_RANGE_START_VAL |
macro |
|
|
SVT_CHI_DEFAULT_ADV_CURR_LCRD_MED_RANGE_START_VAL |
macro |
|
|
SVT_CHI_DOMAIN_TYPE_INNERSNOOPABLE |
macro |
|
|
SVT_CHI_DOMAIN_TYPE_NONSNOOPABLE |
macro |
|
|
SVT_CHI_DOMAIN_TYPE_OUTERSNOOPABLE |
macro |
|
|
SVT_CHI_DOMAIN_TYPE_SNOOPABLE |
macro |
|
|
SVT_CHI_DONOTDATAPULL_WIDTH |
macro |
|
|
SVT_CHI_DONOTGOTOSD_WIDTH |
macro |
|
|
SVT_CHI_DVM_INVALIDATION_WIDTH |
macro |
|
|
SVT_CHI_DVM_MSG_TYPE_BITVEC_SLICE |
macro |
|
|
SVT_CHI_DVM_MSG_TYPE_SYNC |
macro |
|
|
SVT_CHI_DVM_MSG_TYPE_WIDTH |
macro |
|
|
SVT_CHI_DVM_NUM_WIDTH |
macro |
|
|
SVT_CHI_DVM_PART_NUM_BIT |
macro |
|
|
SVT_CHI_DVM_RANGE_WIDTH |
macro |
|
|
SVT_CHI_DVM_SCALE_WIDTH |
macro |
|
|
SVT_CHI_DVM_TG_WIDTH |
macro |
|
|
SVT_CHI_DVM_TTL_WIDTH |
macro |
|
|
SVT_CHI_DVM_VA_VALID_BIT |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_cancel_dvmop_tlbi_followed_by_dvmop_tlbi_of_same_txnid_followed_by_dvmop_sync_followed_by_cancel_dvmop_sync_followed_by_dvmop_sync_of_same_txnid_pattern_sequence |
class |
|
|
svt_chi_dvmop_tlbi_followed_by_cancel_dvmop_tlbi_followed_by_non_dvmop_of_same_txnid_followed_by_dvmop_sync_followed_by_cancel_dvmop_sync_followed_by_dvmop_sync_of_same_txnid_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_cmo_followed_by_dvmop_sync_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_CMO_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_cmo_followed_by_dvmop_tlbi_followed_by_dvmop_sync_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_dvmop_sync_followed_by_dvmop_sync_followed_by_dvmop_tlbi_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_DVMOP_SYNC_PFOLLOWED_BY_DVMOP_TLBI_ATTERN_SEQ |
macro |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_dvmop_sync_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID |
macro |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_followed_by_dvmop_tlbi_followed_by_dvmop_sync_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_outstanding_followed_by_dvmop_sync_followed_by_retry_dvmop_sync_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_SYNC_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_SYNC_PATTERN_SEQ |
macro |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_outstanding_followed_by_dvmop_tlbi_followed_by_retry_dvmop_tlbi_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_DIFF_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ |
macro |
|
|
SVT_CHI_DVMOP_TLBI_OUTSTANDING_FOLLOWED_BY_DVMOP_TLBI_WITH_SAME_LPID_FOLLOWED_BY_RETRY_DVMOP_TLBI_PATTERN_SEQ |
macro |
|
|
svt_chi_dvmop_tlbi_transaction_followed_by_retry_dvmop_tlbi_transaction_followed_by_dvmop_sync_transaction_followed_by_retry_dvmop_sync_transaction_pattern_sequence |
class |
|
|
SVT_CHI_DVMOP_TYPE_BRANCH_PREDICTOR_INVALIDATE |
macro |
|
|
SVT_CHI_DVMOP_TYPE_PHY_INS_CACHE_INVALIDATE |
macro |
|
|
SVT_CHI_DVMOP_TYPE_SYNC |
macro |
|
|
SVT_CHI_DVMOP_TYPE_TLB_INVALIDATE |
macro |
|
|
SVT_CHI_DVMOP_TYPE_VIRTUAL_INS_CACHE_INVALIDATE |
macro |
|
|
SVT_CHI_E_COV_DBID_BINS |
macro |
|
|
SVT_CHI_E_COV_TXNID_BINS |
macro |
|
|
svt_chi_e_protocol_flow_ctrl_combined_write_cmo_hazard_base_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_noncopyback_write_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_read_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_standalone_cmo_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_copyback_write_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_read_hazard_sequence |
class |
|
|
svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_standalone_cmo_hazard_sequence |
class |
|
|
svt_chi_e_rn_writesoptionaldata_type_transaction_directed_sequence |
class |
|
|
SVT_CHI_E_SPEC_BEHAVIOR |
macro |
|
|
svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_e_system_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence |
class |
|
|
SVT_CHI_ENABLE_COHERENT_XACT |
macro |
|
| SVT_CHI_ENABLE_COHERENT_XACT |
macro |
|
|
SVT_CHI_ENABLE_DCT |
macro |
|
|
SVT_CHI_ENABLE_DMT |
macro |
|
|
SVT_CHI_ENABLE_DWT |
macro |
|
|
SVT_CHI_ENABLE_MEMORY_TAGGING |
macro |
|
|
SVT_CHI_ENABLE_ORD_STASH_DATA_PULL |
macro |
|
|
SVT_CHI_ENABLE_SEP_RD_DATA_SEP_RSP |
macro |
|
|
SVT_CHI_ENABLE_STASH |
macro |
|
|
SVT_CHI_ENABLE_STASH_DATA_PULL |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EP_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_ERROR_CTRL_REG_WIDTH |
macro |
|
|
SVT_CHI_EXCL_MON_FAILURE_COND_DEFAULT_VALUE_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_INVALID |
macro |
|
|
SVT_CHI_EXCL_MON_INVALID_MAX_EXCL_ACCESS_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_RESET |
macro |
|
|
SVT_CHI_EXCL_MON_RESET_ACCESS_FAIL_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_RESET_SNOOP_INVALIDATION_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_RESET_STORE_WITHOUT_LOAD_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_SET |
macro |
|
|
SVT_CHI_EXCL_MON_SET_ACCESS_FAIL_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MON_SET_ACCESS_PASS_XACT_DROPPED |
macro |
|
|
SVT_CHI_EXCL_MONITOR_DEFAULT |
macro |
|
|
SVT_CHI_EXCL_MONITOR_NO_ENTRY |
macro |
|
|
SVT_CHI_EXCL_MONITOR_RESET |
macro |
|
|
SVT_CHI_EXCL_MONITOR_SET |
macro |
|
|
SVT_CHI_EXCL_SNPME_CAH_WIDTH |
macro |
|
|
SVT_CHI_EXCL_WIDTH |
macro |
|
|
SVT_CHI_EXCLUDE_UNSTARTED_XACT |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_FROM_DIFF_LPID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_INTERLEAVED_BY_ANOTHER_EXCL_STORE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_MEMORY_ATTRIBUTE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_DIFF_SNOOP_ATTRIBUTE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_EXCLUSIVE_ACCESSES_SEQUENCE_PAIR_WITH_SAME_MEMORY_ATTRIBUTE_PATTERN_SEQ |
macro |
|
|
svt_chi_exclusive_monitor |
class |
|
|
SVT_CHI_EXPCOMPACK_WIDTH |
macro |
|
|
SVT_CHI_F_PRINT_XACT_FIELD |
macro |
|
|
SVT_CHI_F_PRINT_XACT_NSE |
macro |
|
|
svt_chi_flit |
class |
|
| svt_chi_flit |
class typedef |
defined in global |
|
SVT_CHI_FLIT_CAUSAL_PRINT_PREFIX |
macro |
|
|
SVT_CHI_FLIT_CLASS_ONLY_IS_COMBINED_WRITE_PERSISTENT_CMO |
macro |
|
|
SVT_CHI_FLIT_DATA_WIDTH_128BIT |
macro |
|
|
SVT_CHI_FLIT_DATA_WIDTH_256BIT |
macro |
|
|
SVT_CHI_FLIT_DATA_WIDTH_512BIT |
macro |
|
|
svt_chi_flit_exception |
class |
|
| svt_chi_flit_exception |
class typedef |
defined in global |
|
svt_chi_flit_exception_list |
class |
|
|
SVT_CHI_FLIT_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
SVT_CHI_FLIT_IS_COHERENT_COMBINED_CBWRITE_CMO |
macro |
|
|
SVT_CHI_FLIT_IS_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_FLIT_IS_COMBINED_WRITE_PERSISTENT_CMO |
macro |
|
|
SVT_CHI_FLIT_IS_NON_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_FLIT_PRINT_PREFIX |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_0BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_12BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_16BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_24BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_32BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_4BIT |
macro |
|
|
SVT_CHI_FLIT_RSVDC_WIDTH_8BIT |
macro |
|
|
svt_chi_flit_sequencer |
class |
|
|
SVT_CHI_FORWARD_NID_PBHA_WIDTH |
macro |
|
|
SVT_CHI_FORWARD_NID_WIDTH |
macro |
|
|
SVT_CHI_FORWARD_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_FWD_STATE_WIDTH |
macro |
|
|
SVT_CHI_GET_XACT_END_TIME |
macro |
|
|
SVT_CHI_GET_XACT_START_TIME |
macro |
|
|
SVT_CHI_GROUPIDEXT_WIDTH |
macro |
|
|
SVT_CHI_HN |
macro |
|
|
svt_chi_hn_addr_range |
class |
|
|
SVT_CHI_HN_ALWAYS_FORWARD_AS_READ_AND_WRITE |
macro |
|
|
SVT_CHI_HN_ALWAYS_FORWARD_ATOMICS |
macro |
|
|
svt_chi_hn_configuration |
class typedef |
defined in global |
|
SVT_CHI_HN_FORWARD_AS_ATOMICS_OR_AS_READ_AND_WRITE |
macro |
|
|
SVT_CHI_HN_NODE_IDX_WIDTH |
macro |
|
|
svt_chi_hn_status |
class |
|
| svt_chi_hn_status |
class typedef |
defined in global |
|
SVT_CHI_HN_STATUS_DEFAULT_ADDRESS_BASED_FLUSH_POLICY |
macro |
|
|
SVT_CHI_HOME_NID_PBHA_WIDTH |
macro |
|
|
SVT_CHI_HOME_NID_WIDTH |
macro |
|
|
SVT_CHI_HYBRID_DATA_FORMAT |
macro |
|
|
SVT_CHI_IC_CFG_DEFAULT_CHI_SPEC_REVISION |
macro |
|
|
svt_chi_ic_dvm_snoop_transaction_random_sequence |
class |
|
|
svt_chi_ic_rn_agent |
class |
|
|
svt_chi_ic_rn_if |
interface |
|
|
svt_chi_ic_rn_link |
class |
|
|
svt_chi_ic_rn_link_callback |
class |
|
|
svt_chi_ic_rn_link_callback_pool |
class typedef |
defined in global |
|
svt_chi_ic_rn_link_cb_exec_common |
class |
|
| svt_chi_ic_rn_link_cb_exec_common |
class typedef |
defined in global |
|
SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_DAT_CB_NAME |
macro |
|
|
SVT_CHI_IC_RN_LINK_CB_EXEC_COMMON_POST_TX_RSP_CB_NAME |
macro |
|
|
SVT_CHI_IC_RN_TRANSACTION_SV |
macro |
|
|
svt_chi_ic_rn_vif |
interface typedef |
defined in class svt_chi_node_configuration |
| svt_chi_ic_rn_vif |
interface typedef |
defined in class svt_chi_ic_rn_link |
| svt_chi_ic_rn_vif |
interface typedef |
defined in class svt_chi_ic_rn_agent |
| svt_chi_ic_rn_vif |
interface typedef |
defined in class svt_chi_interconnect_env |
|
svt_chi_ic_rn_virtual_sequencer |
class |
|
|
svt_chi_ic_sn_agent |
class |
|
|
svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence |
class |
|
|
svt_chi_ic_sn_if |
interface |
|
|
svt_chi_ic_sn_link |
class |
|
|
svt_chi_ic_sn_random_response_sequence |
class |
|
|
svt_chi_ic_sn_read_data_interleave_response_sequence |
class |
|
|
svt_chi_ic_sn_reordering_response_sequence |
class |
|
|
svt_chi_ic_sn_snoop_transaction_sequencer |
class |
|
| svt_chi_ic_sn_snoop_transaction_sequencer |
class typedef |
defined in global |
|
svt_chi_ic_sn_suspend_response_resume_after_delay_sequence |
class |
|
|
svt_chi_ic_sn_suspend_response_sequence |
class |
|
|
svt_chi_ic_sn_transaction |
class |
|
|
svt_chi_ic_sn_transaction_base_sequence |
class |
|
| svt_chi_ic_sn_transaction_base_sequence |
class typedef |
defined in global |
|
svt_chi_ic_sn_transaction_memory_sequence |
class |
|
|
svt_chi_ic_sn_transaction_sequencer |
class |
|
| svt_chi_ic_sn_transaction_sequencer |
class typedef |
defined in global |
|
SVT_CHI_IC_SN_TRANSACTION_SV |
macro |
|
|
svt_chi_ic_sn_vif |
interface typedef |
defined in class svt_chi_node_configuration |
| svt_chi_ic_sn_vif |
interface typedef |
defined in class svt_chi_ic_sn_link |
| svt_chi_ic_sn_vif |
interface typedef |
defined in class svt_chi_ic_sn_agent |
| svt_chi_ic_sn_vif |
interface typedef |
defined in class svt_chi_interconnect_env |
|
svt_chi_ic_sn_virtual_sequencer |
class |
|
|
svt_chi_ic_snoop_input_port_type |
class typedef |
defined in global |
|
svt_chi_ic_snoop_transaction |
class |
|
|
svt_chi_ic_snoop_transaction_base_sequence |
class |
|
| svt_chi_ic_snoop_transaction_base_sequence |
class typedef |
defined in global |
|
svt_chi_ic_snoop_transaction_directed_sequence |
class |
|
|
svt_chi_ic_snoop_transaction_random_sequence |
class |
|
|
svt_chi_ic_stash_snoop_transaction_directed_sequence |
class |
|
|
svt_chi_if |
interface |
|
|
SVT_CHI_IF_PARITY_DAT_FLIT_CHK_WIDTH |
macro |
|
|
SVT_CHI_IF_PARITY_REQ_FLIT_CHK_WIDTH |
macro |
|
|
SVT_CHI_IF_PARITY_RSP_FLIT_CHK_WIDTH |
macro |
|
|
SVT_CHI_IF_PARITY_SNP_FLIT_CHK_WIDTH |
macro |
|
|
SVT_CHI_INACTIVE_HIGH_VAL |
macro |
|
|
SVT_CHI_INACTIVE_LOW_VAL |
macro |
|
|
SVT_CHI_INACTIVE_PREV_VAL |
macro |
|
|
SVT_CHI_INACTIVE_RAND_VAL |
macro |
|
|
SVT_CHI_INACTIVE_X_VAL |
macro |
|
|
SVT_CHI_INACTIVE_Z_VAL |
macro |
|
|
svt_chi_input_port_type |
class typedef |
defined in global |
|
SVT_CHI_INT_TYPE_WIDTH |
macro |
|
|
svt_chi_interconnect |
class |
|
| svt_chi_interconnect |
class typedef |
defined in global |
|
svt_chi_interconnect_callback |
class |
|
| svt_chi_interconnect_callback |
class typedef |
defined in global |
|
svt_chi_interconnect_callback_pool |
class typedef |
defined in global |
|
svt_chi_interconnect_configuration |
class |
|
| svt_chi_interconnect_configuration |
class typedef |
defined in global |
|
svt_chi_interconnect_env |
class |
|
| svt_chi_interconnect_env |
class typedef |
defined in global |
|
SVT_CHI_INTERFACE_HN_F |
macro |
|
|
SVT_CHI_INTERFACE_HN_I |
macro |
|
|
SVT_CHI_INTERFACE_IC_SN_F |
macro |
|
|
SVT_CHI_INTERFACE_IC_SN_I |
macro |
|
|
SVT_CHI_INTERFACE_PARITY_ENABLE_INTERNAL |
macro |
|
|
SVT_CHI_INTERFACE_PARITY_GRANULARITY |
macro |
|
|
SVT_CHI_INTERFACE_RN_D |
macro |
|
|
SVT_CHI_INTERFACE_RN_F |
macro |
|
|
SVT_CHI_INTERFACE_RN_I |
macro |
|
|
SVT_CHI_INTERFACE_SN_F |
macro |
|
|
SVT_CHI_INTERFACE_SN_I |
macro |
|
|
SVT_CHI_INTERNAL_SPEC_ISSUE_MACROS_DEFINED |
macro |
|
|
SVT_CHI_IS_COHERENT_COMBINED_CBWRITE_CMO |
macro |
|
|
SVT_CHI_IS_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_IS_COMBINED_WRITE_PERSISTENT_CMO |
macro |
|
|
SVT_CHI_IS_FULL_CACHELINE_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_IS_NON_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_IS_PTL_CACHELINE_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_IS_XACT_BARRIER |
macro |
|
|
SVT_CHI_IS_XACT_CMO |
macro |
|
|
SVT_CHI_IS_XACT_COPYBACK |
macro |
|
|
SVT_CHI_IS_XACT_DVMOP |
macro |
|
|
SVT_CHI_IS_XACT_PCRDRETURN |
macro |
|
|
SVT_CHI_IS_XACT_PREFETCHTGT |
macro |
|
|
SVT_CHI_IS_XACT_READ |
macro |
|
|
SVT_CHI_IS_XACT_WRITE |
macro |
|
|
SVT_CHI_ISSUE_A_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_B_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_C_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_D_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_E_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_E_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_F_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_F_ONLY_FEATURES_ENABLE |
macro |
|
|
SVT_CHI_ISSUE_G_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_LIKELYSHARED_WIDTH |
macro |
|
|
SVT_CHI_LIMITED_VALIDATION_FEATURE_MSG_COV |
macro |
|
|
SVT_CHI_LINK_ACTIVITY_MAX_DELAY_COUNT |
macro |
|
|
svt_chi_link_err_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_compdata_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_copybackwrdata_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_datasepresp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_lcrdreturn_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_ncbwrdatacompack_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_noncopybackwrdata_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_snprespdata_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_snprespdatafwded_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_snprespdataptl_check |
class |
|
|
svt_chi_link_err_check_stats_cov_data_flit_writedatacancel_check |
class |
|
|
svt_chi_link_err_check_stats_cov_illegal_reserved_fields_check |
class |
|
|
svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations |
class |
|
|
svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_async_input_race_state |
class |
|
|
svt_chi_link_err_check_stats_cov_illegal_tx_rx_state_transition_combinations_from_banned_output_race_state |
class |
|
|
svt_chi_link_err_check_stats_cov_invalid_data_flit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_invalid_lcredit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_invalid_req_flit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_invalid_rsp_flit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_invalid_snp_flit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_lasm_entry_into_async_input_race_state_check |
class |
|
|
svt_chi_link_err_check_stats_cov_lasm_entry_into_banned_output_race_state_check |
class |
|
|
svt_chi_link_err_check_stats_cov_lasm_in_async_input_race_state_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_lasm_in_banned_output_race_state_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_port_interleaving_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_atomiccompare_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_atomicload_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_atomicstore_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_atomicswap_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleaninvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleaninvalidpopa_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersist_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_cleanunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_dvmop_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_ecbarrier_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_eobarrier_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_evict_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_lcrdreturn_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_makeinvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_makereadunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_makeunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_pcrdreturn_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_prefetchtgt_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readclean_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readnosnp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readnosnpsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readnotshareddirty_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readonce_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readoncecleaninvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readoncemakeinvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readpreferunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readspec_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_readunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_stashoncesepshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_stashoncesepunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_stashonceshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_stashonceunique_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackfull_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleaninvalidpopa_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackfull_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writebackptl_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writecleanfull_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writecleanptl_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeevictfull_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeevictorevict_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpdef_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleaninvalidpopa_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpfull_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalid_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleaninvalidpopa_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpptl_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writenosnpzero_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniquefull_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniquefullstash_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleanshared_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptl_cleansharedpersistsep_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniqueptlstash_check |
class |
|
|
svt_chi_link_err_check_stats_cov_req_flit_writeuniquezero_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_comp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_compack_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_compcmo_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_compdbidresp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_comppersist_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_compstashdone_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_dbidresp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_dbidrespord_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_lcrdreturn_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_pcrdgrant_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_persist_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_readreceipt_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_respsepdata_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_retryack_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_snpresp_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_snprespfwded_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_stashdone_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rsp_flit_tagmatch_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_illegal_state_transition |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_link_active_request_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_link_deactive_request_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_link_not_active_during_flit_reception |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_no_lcredit_issued_for_flit_type |
class |
|
|
svt_chi_link_err_check_stats_cov_rx_no_lcredit_sent_for_flit_transfer |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxdatflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxdatlcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactiveack_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxlinkactivereq_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxreqflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxreqlcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxrspflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxrsplcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsactive_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsnpflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_rxsnplcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txdatflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txdatflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txdatlcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txlinkactiveack_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txlinkactivereq_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txreqflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txreqflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txreqlcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txrspflitpend_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txrspflitv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_check |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txrsplcrdv_during_reset |
class |
|
|
svt_chi_link_err_check_stats_cov_signal_valid_txsactive_check |
class |
|
|
svt_chi_link_err_check_stats_cov_snoop_flit_lcrdreturn_check |
class |
|
|
svt_chi_link_err_check_stats_cov_snoop_flit_snpdvmop_check |
class |
|
|
svt_chi_link_err_check_stats_cov_snoop_flit_snpreq_check |
class |
|
|
svt_chi_link_err_check_stats_cov_sysco_interface_illegal_state_transition |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_illegal_state_transition |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_lcredit_used_same_cycle_which_recieved |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_link_active_request_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_link_deactive_request_timeout_check |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_link_not_active_during_flit_transfer |
class |
|
|
svt_chi_link_err_check_stats_cov_tx_no_lcredit_for_flit_transfer |
class |
|
|
svt_chi_link_err_check_stats_cov_unexpected_poison_value_in_dat_flit_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_flitpend_and_flitv_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_rx_stop_state_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_lcredit_count_in_tx_stop_state_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_lcredit_range_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_num_prot_flits_in_txlasm_deactivate_state_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_reqflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_rxdatflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_rxrspflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_snpflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_txdatflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_txrspflit_signal_check |
class |
|
|
svt_chi_link_err_check_stats_cov_valid_xact_attributes_combination_check |
class |
|
|
SVT_CHI_LINK_LAYER |
macro |
|
|
svt_chi_link_rxla_activate_state |
class |
|
|
svt_chi_link_rxla_deactivate_state |
class |
|
|
svt_chi_link_rxla_fsm |
class |
|
|
svt_chi_link_rxla_run_state |
class |
|
|
svt_chi_link_rxla_stop_state |
class |
|
|
svt_chi_link_service |
class |
|
|
svt_chi_link_service_activate_sequence |
class |
|
|
svt_chi_link_service_base_sequence |
class |
|
|
svt_chi_link_service_deactivate_sequence |
class |
|
|
svt_chi_link_service_random_sequence |
class |
|
|
svt_chi_link_service_sequencer |
class |
|
|
svt_chi_link_status |
class |
|
|
svt_chi_link_sysco_coherency_connect_state |
class |
|
|
svt_chi_link_sysco_coherency_disabled_state |
class |
|
|
svt_chi_link_sysco_coherency_disconnect_state |
class |
|
|
svt_chi_link_sysco_coherency_enabled_state |
class |
|
|
svt_chi_link_sysco_interface_fsm |
class |
|
|
svt_chi_link_txla_activate_state |
class |
|
|
svt_chi_link_txla_deactivate_state |
class |
|
|
svt_chi_link_txla_fsm |
class |
|
|
svt_chi_link_txla_run_state |
class |
|
|
svt_chi_link_txla_stop_state |
class |
|
|
SVT_CHI_LOAD_FOLLOWED_BY_STORE_FOLLOWED_BY_STORE_PATTERN_SEQ |
macro |
|
|
svt_chi_load_followed_by_store_followed_by_store_pattern_sequence |
class |
|
|
SVT_CHI_LOAD_FOLLOWED_BY_STORE_PATTERN_SEQ |
macro |
|
|
svt_chi_load_followed_by_store_pattern_sequence |
class |
|
|
SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE |
macro |
|
| SVT_CHI_LOG_BASE_2_CACHE_LINE_SIZE |
macro |
|
|
SVT_CHI_LPID_WIDTH |
macro |
|
|
SVT_CHI_MAX_ADDR_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_BE_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_DATA_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_LD_ST_BE_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_POISON_WIDTH |
macro |
|
|
SVT_CHI_MAX_ATOMIC_TAG_WIDTH |
macro |
|
|
SVT_CHI_MAX_BE_WIDTH |
macro |
|
|
SVT_CHI_MAX_CBUSY_VALUE |
macro |
|
|
SVT_CHI_MAX_COMPDATATOCOMPACKFLIT_DELAY |
macro |
|
|
SVT_CHI_MAX_COMPTODBID_DELAY |
macro |
|
|
SVT_CHI_MAX_COMPTODBIDRESPORD_DELAY |
macro |
|
|
SVT_CHI_MAX_COMPTOSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MAX_DAT_FLIT_REORDERING_DEPTH |
macro |
|
|
SVT_CHI_MAX_DAT_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MAX_DAT_FLIT_WIDTH |
macro |
|
|
SVT_CHI_MAX_DATA_WIDTH |
macro |
|
|
SVT_CHI_MAX_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_MAX_DBIDRESPORDTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MAX_DBIDTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MAX_DBIDTODATAFLIT_DELAY |
macro |
|
|
SVT_CHI_MAX_FLIT_BUFFER_SIZE |
macro |
|
|
SVT_CHI_MAX_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY |
macro |
|
|
SVT_CHI_MAX_LPID_WIDTH |
macro |
|
|
SVT_CHI_MAX_MIN_CYCLES_IN_DEACTIVE |
macro |
|
|
SVT_CHI_MAX_MPAM_PARTID_WIDTH |
macro |
|
|
SVT_CHI_MAX_MPAM_PERFMONGROUP_WIDTH |
macro |
|
|
SVT_CHI_MAX_NODE_ID_WIDTH |
macro |
|
|
SVT_CHI_MAX_NUM_CACHE_LINES |
macro |
|
|
SVT_CHI_MAX_NUM_CLOCK_CYCLES_SPECULATIVE_SACTIVE_SIGNAL_ASSERTED |
macro |
|
|
SVT_CHI_MAX_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MAX_NUM_EXCLUSIVE_ACCESS |
macro |
|
|
SVT_CHI_MAX_NUM_HNS |
macro |
|
|
SVT_CHI_MAX_NUM_INTERCONNECTS |
macro |
|
|
SVT_CHI_MAX_NUM_LCREDITS_XMITTED_IN_DEACTIVATE_STATE |
macro |
|
|
SVT_CHI_MAX_NUM_OUTSTANDING_SNOOP_XACT |
macro |
|
|
SVT_CHI_MAX_NUM_OUTSTANDING_XACT |
macro |
|
|
SVT_CHI_MAX_NUM_REQ_ORDER_STREAMS |
macro |
|
|
SVT_CHI_MAX_NUM_RNS |
macro |
|
|
SVT_CHI_MAX_NUM_SNS |
macro |
|
|
SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MAX_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MAX_NUM_SYSTEMS |
macro |
|
|
SVT_CHI_MAX_PA_WIDTH |
macro |
|
|
SVT_CHI_MAX_PCREDITGRANTTORETRYACK_DELAY |
macro |
|
|
SVT_CHI_MAX_PERMITTED_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_MAX_POISON_WIDTH |
macro |
|
|
SVT_CHI_MAX_QOS_VALUE |
macro |
|
|
SVT_CHI_MAX_RD_INTERLEAVE_DEPTH |
macro |
|
|
SVT_CHI_MAX_READ_DATA_INTERLEAVE_SIZE |
macro |
|
|
SVT_CHI_MAX_READ_FIFO_DRAIN_RATE |
macro |
|
|
SVT_CHI_MAX_READ_FIFO_FULL_LEVEL |
macro |
|
|
SVT_CHI_MAX_REQ_CHANNELS |
macro |
|
|
SVT_CHI_MAX_REQ_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MAX_REQ_FLIT_WIDTH |
macro |
|
|
SVT_CHI_MAX_REQTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOCOMPDATA_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOCOMPDBID_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOCOMPPERSIST_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOCOMPSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTODBID_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTODBIDRESPORD_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOPCREDITGRANT_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOPERSIST_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTORETRYACK_DELAY |
macro |
|
|
SVT_CHI_MAX_REQTOSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MAX_RETRYACKTOPCREDITGRANT_DELAY |
macro |
|
|
SVT_CHI_MAX_RSP_FLIT_REORDERING_DEPTH |
macro |
|
|
SVT_CHI_MAX_RSP_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MAX_RSP_FLIT_WIDTH |
macro |
|
|
SVT_CHI_MAX_RXDAT_CHANNELS |
macro |
|
|
SVT_CHI_MAX_RXDATLCRDV_DELAY |
macro |
|
|
SVT_CHI_MAX_RXRSP_CHANNELS |
macro |
|
|
SVT_CHI_MAX_RXRSPLCRDV_DELAY |
macro |
|
|
SVT_CHI_MAX_RXSNP_CHANNELS |
macro |
|
|
SVT_CHI_MAX_RXSNPLCRDV_DELAY |
macro |
|
|
SVT_CHI_MAX_SLCREPLACEMENTHINT_RESERVED_FIELD_WIDTH |
macro |
|
|
SVT_CHI_MAX_SNP_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MAX_SNP_FLIT_WIDTH |
macro |
|
|
SVT_CHI_MAX_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MAX_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY |
macro |
|
|
SVT_CHI_MAX_STASHDONETOCOMP_DELAY |
macro |
|
|
SVT_CHI_MAX_TAG_UPDATE_WIDTH |
macro |
|
|
SVT_CHI_MAX_TAG_WIDTH |
macro |
|
|
SVT_CHI_MAX_TAGGED_ADDR_WIDTH |
macro |
|
|
SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITPEND_DELAY |
macro |
|
|
SVT_CHI_MAX_TX_DATAPULL_COMPACK_FLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_TX_FLIT_DELAY |
macro |
|
|
SVT_CHI_MAX_TX_FLITPEND_FLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_TXDAT_CHANNELS |
macro |
|
|
SVT_CHI_MAX_TXDATFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MAX_TXDATFLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_MAX_TXREQFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MAX_TXREQFLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_TXRSP_CHANNELS |
macro |
|
|
SVT_CHI_MAX_TXRSPFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MAX_TXRSPFLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_TXSNPFLITV_DELAY |
macro |
|
|
SVT_CHI_MAX_VA_WIDTH |
macro |
|
|
SVT_CHI_MAX_VAL_FOR_LINK_ACTIVATION_TIMEOUT |
macro |
|
|
SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIME |
macro |
|
|
SVT_CHI_MAX_VAL_FOR_LINK_DEACTIVATION_TIMEOUT |
macro |
|
|
SVT_CHI_MAX_VAL_RX_VC_CREDIT_TRANSMISSION_TIMEOUT |
macro |
|
|
SVT_CHI_MAX_WR_INTERLEAVE_DEPTH |
macro |
|
|
SVT_CHI_MAX_WRITE_DATA_INTERLEAVE_SIZE |
macro |
|
|
SVT_CHI_MAX_WRITE_FIFO_FILL_RATE |
macro |
|
|
SVT_CHI_MAX_WRITE_FIFO_FULL_LEVEL |
macro |
|
|
SVT_CHI_MAX_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT |
macro |
|
|
SVT_CHI_MAX_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT |
macro |
|
|
SVT_CHI_MEM_ATTR_WIDTH |
macro |
|
|
svt_chi_mem_debug |
macro |
|
|
svt_chi_mem_error |
macro |
|
|
svt_chi_mem_note |
macro |
|
|
SVT_CHI_MEM_TYPE_DEVICE |
macro |
|
|
SVT_CHI_MEM_TYPE_NORMAL |
macro |
|
|
svt_chi_mem_verbose |
macro |
|
|
svt_chi_mem_warning |
macro |
|
|
svt_chi_memory |
class |
|
| svt_chi_memory |
class typedef |
defined in global |
|
SVT_CHI_MEMORY_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_CHI_MID_COMPDATATOCOMPACKFLIT_DELAY |
macro |
|
|
SVT_CHI_MID_DBIDTODATAFLIT_DELAY |
macro |
|
|
SVT_CHI_MID_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MID_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY |
macro |
|
|
SVT_CHI_MIN_COMPDATATOCOMPACKFLIT_DELAY |
macro |
|
|
SVT_CHI_MIN_COMPTODBID_DELAY |
macro |
|
|
SVT_CHI_MIN_COMPTODBIDRESPORD_DELAY |
macro |
|
|
SVT_CHI_MIN_COMPTOSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MIN_DAT_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MIN_DBIDRESPORDTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MIN_DBIDTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MIN_DBIDTODATAFLIT_DELAY |
macro |
|
|
SVT_CHI_MIN_INTER_XACT_OPTIMIZATIONS_RSP_DAT_DELAY |
macro |
|
|
SVT_CHI_MIN_NUM_END_OF_XACT_TXSACTIVE_EXTENDED_ASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_ASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MIN_NUM_SPECULATIVE_TXSACTIVE_DEASSERTION_CYCLES |
macro |
|
|
SVT_CHI_MIN_PCREDITGRANTTORETRYACK_DELAY |
macro |
|
|
SVT_CHI_MIN_REQ_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOCOMP_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOCOMPDATA_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOCOMPDBID_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOCOMPPERSIST_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOCOMPSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTODBID_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTODBIDRESPORD_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOPCREDITGRANT_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOPERSIST_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTORETRYACK_DELAY |
macro |
|
|
SVT_CHI_MIN_REQTOSTASHDONE_DELAY |
macro |
|
|
SVT_CHI_MIN_RETRYACKTOPCREDITGRANT_DELAY |
macro |
|
|
SVT_CHI_MIN_RSP_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MIN_RXDATLCRDV_DELAY |
macro |
|
|
SVT_CHI_MIN_RXRSPLCRDV_DELAY |
macro |
|
|
SVT_CHI_MIN_RXSNPLCRDV_DELAY |
macro |
|
|
SVT_CHI_MIN_SNP_FLIT_TO_LCRD_DELAY |
macro |
|
|
SVT_CHI_MIN_SNPSTASHUNIQUE_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_SNPMAKEINVALID_XACT_TO_SNPRESP_DELAY |
macro |
|
|
SVT_CHI_MIN_SNPUNIQUE_SNPCLEANINVALID_XACT_TO_SNPRESPDATA_DELAY |
macro |
|
|
SVT_CHI_MIN_STASHDONETOCOMP_DELAY |
macro |
|
|
SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITPEND_DELAY |
macro |
|
|
SVT_CHI_MIN_TX_DATAPULL_COMPACK_FLITV_DELAY |
macro |
|
|
SVT_CHI_MIN_TXDATFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MIN_TXDATFLITV_DELAY |
macro |
|
|
SVT_CHI_MIN_TXREQFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MIN_TXREQFLITV_DELAY |
macro |
|
|
SVT_CHI_MIN_TXRSPFLITPEND_DELAY |
macro |
|
|
SVT_CHI_MIN_TXRSPFLITV_DELAY |
macro |
|
|
SVT_CHI_MIN_TXSNPFLITV_DELAY |
macro |
|
|
SVT_CHI_MONITOR_IF_HOLD_TIME |
macro |
|
|
SVT_CHI_MONITOR_IF_SETUP_TIME |
macro |
|
|
SVT_CHI_MPAM_INTERNAL_WIDTH_ENABLE |
macro |
|
|
SVT_CHI_MPAM_NS_WIDTH |
macro |
|
|
SVT_CHI_MPAM_PARTID_WIDTH_12BIT |
macro |
|
|
SVT_CHI_MPAM_PARTID_WIDTH_9BIT |
macro |
|
|
SVT_CHI_MPAM_WIDTH |
macro |
|
|
SVT_CHI_MS_SCENARIO_GEN_SOURCE |
macro |
|
|
SVT_CHI_NEW_LINK_ERR_CHECK_STATS |
macro |
|
|
SVT_CHI_NEW_LINK_WARN_CHECK_STATS |
macro |
|
|
SVT_CHI_NEW_PROT_ERR_CHECK_STATS |
macro |
|
|
SVT_CHI_NEW_PROT_WARN_CHECK_STATS |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_EP_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_RD_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_EP_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_RD_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_REQ_ORDER_WR_FOLLOWED_BY_REQ_ORDER_WR_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_PATTERN_SEQ |
macro |
|
|
svt_chi_no_ordering_rd_after_two_non_no_ordering_transaction_pattern_sequence |
class |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_DIFF_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_RD_AFTER_TWO_NON_NO_ORDERING_TRANSACTION_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NO_ORDERING_REQUIRED |
macro |
|
|
SVT_CHI_NO_SOURCE |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_ASYNC_INPUT_BANNED_OUTPUT_RACE_LINK_ACTIVE_STATES_TIMEOUT |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_CHI_SPEC_REVISION |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_CLEANSHAREDPERSISTSEP_XACT_ENABLE |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_ENABLE_MPAM |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_IF_PARITY |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_ASYNC_INPUT_RACE_STATE_EXPECTED |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_IS_LINK_ACTIVE_STATE_MACHINE_IN_BANNED_OUTPUT_RACE_STATE_EXPECTED |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_MPAM_PARTID_PMG_TYPE |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_NDERR_RESP_POLICY |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_RESET_TYPE |
macro |
|
|
SVT_CHI_NODE_CFG_DEFAULT_STOP_SNP_LCRD_XMISSION_WHEN_TXLA_NOT_IN_RUN_STATE |
macro |
|
|
SVT_CHI_NODE_CFG_PARTIAL_CACHE_STATES_ENABLE |
macro |
|
|
svt_chi_node_configuration |
class |
|
| svt_chi_node_configuration |
class typedef |
defined in global |
|
SVT_CHI_NODE_COV_NUM_BYTE_ENABLE_BINS |
macro |
|
|
SVT_CHI_NODE_ID_WIDTH |
macro |
|
|
SVT_CHI_NODE_INFO_PRINT_PREFIX |
macro |
|
|
svt_chi_node_perf_status |
class |
|
|
svt_chi_node_pmu |
class |
|
|
svt_chi_node_protocol_monitor |
class |
|
| svt_chi_node_protocol_monitor |
class typedef |
defined in global |
|
svt_chi_node_protocol_monitor_auto_read_callback |
class |
|
|
svt_chi_node_protocol_monitor_callback |
class |
|
| svt_chi_node_protocol_monitor_callback |
class typedef |
defined in global |
|
svt_chi_node_protocol_monitor_callback_pool |
class typedef |
defined in global |
|
svt_chi_node_protocol_monitor_cb_exec |
class typedef |
defined in global |
|
svt_chi_node_protocol_monitor_cb_exec_common |
class typedef |
defined in global |
|
svt_chi_node_protocol_monitor_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_def_cov_data_callback |
class |
|
|
svt_chi_node_protocol_monitor_issue_b_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_issue_c_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_issue_d_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_issue_e_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_issue_f_def_cov_callback |
class |
|
|
svt_chi_node_protocol_monitor_snoop_transaction_report_callback |
class |
|
|
svt_chi_node_protocol_monitor_system_checker_callback |
class |
|
|
svt_chi_node_protocol_monitor_transaction_report_callback |
class |
|
|
svt_chi_node_protocol_monitor_transaction_xml_callback |
class |
|
| svt_chi_node_protocol_monitor_transaction_xml_callback |
class typedef |
defined in global |
|
SVT_CHI_NON_COHERENT_EXCLUSIVE_ACCESS_CONDITION |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_4_TIMES_EP_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_4_TIMES_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_4_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_8_TIMES_EP_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_8_TIMES_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NON_CONTIGUOUS_8_TIMES_REQ_ORDER_TYPE_PATTERN_SEQ |
macro |
|
|
SVT_CHI_NORMAL_CMO_XACT |
macro |
|
|
SVT_CHI_NS_WIDTH |
macro |
|
|
SVT_CHI_NSE_WIDTH |
macro |
|
|
SVT_CHI_NUM_BITS_IN_TAG |
macro |
|
| SVT_CHI_NUM_BITS_IN_TAG |
macro |
|
|
SVT_CHI_NUM_DATA_BYTES_PER_TAG |
macro |
|
| SVT_CHI_NUM_DATA_BYTES_PER_TAG |
macro |
|
|
SVT_CHI_NUM_P_CRD_TYPES |
macro |
|
|
SVT_CHI_ORDER_WIDTH |
macro |
|
|
SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_DIFF_LPID |
macro |
|
|
SVT_CHI_OUTSTANDING_DVMOP_TLBI_FOLLOWED_BY_DVMOP_SYNC_SAME_LPID |
macro |
|
|
SVT_CHI_P_CRD_TYPE0 |
macro |
|
|
SVT_CHI_P_CRD_TYPE1 |
macro |
|
|
SVT_CHI_P_CRD_TYPE10 |
macro |
|
|
SVT_CHI_P_CRD_TYPE11 |
macro |
|
|
SVT_CHI_P_CRD_TYPE12 |
macro |
|
|
SVT_CHI_P_CRD_TYPE13 |
macro |
|
|
SVT_CHI_P_CRD_TYPE14 |
macro |
|
|
SVT_CHI_P_CRD_TYPE15 |
macro |
|
|
SVT_CHI_P_CRD_TYPE2 |
macro |
|
|
SVT_CHI_P_CRD_TYPE3 |
macro |
|
|
SVT_CHI_P_CRD_TYPE4 |
macro |
|
|
SVT_CHI_P_CRD_TYPE5 |
macro |
|
|
SVT_CHI_P_CRD_TYPE6 |
macro |
|
|
SVT_CHI_P_CRD_TYPE7 |
macro |
|
|
SVT_CHI_P_CRD_TYPE8 |
macro |
|
|
SVT_CHI_P_CRD_TYPE9 |
macro |
|
|
SVT_CHI_P_CRD_TYPE_WIDTH |
macro |
|
|
SVT_CHI_PBHA_COV_BIN_MID_VAL_RANGE |
macro |
|
|
SVT_CHI_PBHA_INTERNAL_WIDTH_ENABLE |
macro |
|
|
SVT_CHI_PBHA_WIDTH |
macro |
|
|
SVT_CHI_PCRDRETURN_TYPE_SEMANTIC |
macro |
|
|
SVT_CHI_PERSIST_CMO_XACT |
macro |
|
|
SVT_CHI_PGROUPID_WIDTH |
macro |
|
|
SVT_CHI_POISON_INTERNAL_WIDTH_ENABLE |
macro |
|
|
SVT_CHI_PREFETCHTGT_TYPE_SEMANTIC |
macro |
|
|
SVT_CHI_PREV_RXDATFLITV_VALID_REF |
macro |
|
|
SVT_CHI_PREV_TXDATFLITV_VALID_REF |
macro |
|
|
SVT_CHI_PREV_TXREQFLITPEND_VALID_REF |
macro |
|
|
SVT_CHI_PRINT_PREFIX |
macro |
|
|
SVT_CHI_PRINT_PREFIX1 |
macro |
|
|
svt_chi_prot_err_check_stats_cov_associate_compdata_flit_with_fwd_type_snp_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_compstashdone_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_read_dat_flit_with_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_readreceipt_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_respsepdata_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_rsp_flit_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_snprspdata_flit_with_snp_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_stashdone_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_tagmatch_to_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associate_write_dat_flit_with_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associated_respsepdata_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_associated_tagmatch_response_legal_resp_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_atomic_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_atomic_compare_valid_tag_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cache_transitioned_to_dirty_from_uce_for_read |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleaninvalid_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleaninvalidpopa_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleanshared_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleansharedpersist_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleansharedpersistsep_associated_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cleanunique_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cmo_xact_before_completion_of_previous_xacts_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_cmos_forwarded_to_slave_by_hn_with_forward_cmos_to_slave_enable_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_comp_received_for_normal_non_cacheable_or_device_writes_before_barrier_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_copyback_cancellation_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dat_flit_data_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dat_flit_dbid_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_data_pull_set_for_stash_snoop_when_outstanding_xacts_with_dbidrespord |
class |
|
|
svt_chi_prot_err_check_stats_cov_datasepresp_after_respsepdata_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dbid_in_snoop_response_with_data_pull_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dbid_value_must_be_same_across_read_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dbidresp_or_dbidresoprd_response_received_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dmt_used_by_hn_with_dmt_enabled_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_dwt_used_by_hn_with_dwt_enabled_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_end_of_simulation_outstanding_protocol_credit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_evict_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_compack_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_dvmop_sync_request_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_num_read_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_num_write_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_pbha_value_in_snoop_data_of_stash_xact |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_remapped_tgt_id_in_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_response_for_makereadunique_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_rsp_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_snoop_xact_type_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_snpquery_custom_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_comp_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_data_pull_compdata_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_fwded_compdata_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_read_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tagop_value_in_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_tgt_id_in_rn_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_expected_xact_type_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_invalid_data_pull_in_snoop_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makeinvalid_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makereadunique_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makereadunique_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makereadunique_data_response_cache_data_match_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_makeunique_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_matching_dbid_home_nid_in_respsepdata_datasepresp_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_matching_resp_err_nderr_in_respsepdata_datasepresp_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_after_comp_and_dbid_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_ncbwrdatacompack_flit_timing_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_with_cah_set_and_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_req_before_comp_or_compdbid_resp_for_copyback_writes_with_optional_data_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_req_before_compdbid_resp_for_copyback_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_cmo_xacts_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_req_before_completion_of_previous_read_write_xact_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_snp_req_before_compack_of_coherent_xact_which_received_comp_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_new_snp_req_before_completion_of_previous_snp_xact_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_aligned_total_bytes_transaction_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_non_coherent_excl_access_legal_data_size_transfer_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_non_coherent_excl_read_multiple_entry_for_same_lpid_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_normal_non_cacheable_or_device_writes_between_barriers_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_num_dvm_snoop_exceeded_configured_value_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_num_non_dvm_snoop_exceeded_configured_value_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_owo_combined_writenosnp_cmo_compack_timing_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_owo_combined_writeunique_cmo_compack_timing_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_owo_writenosnp_compack_timing_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_owo_writeunique_compack_timing_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_avg_max_read_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_avg_max_write_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_avg_min_read_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_avg_min_write_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_max_read_throughput_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_max_read_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_max_write_throughput_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_max_write_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_min_read_throughput_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_min_read_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_min_write_throughput_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_perf_min_write_xact_latency_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_persist_cmos_forwarded_to_slave_by_hn_with_forward_persist_cmos_to_slave_enable_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_read_data_tagop_not_invalid_for_transfer_fetch_req_tagop |
class |
|
|
svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readclean_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readnosnp_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readnotshareddirty_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readonce_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readoncecleaninvalid_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readoncemakeinvalid_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readpreferunique_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readshared_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readspec_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readspec_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_readunique_associated_compdata_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_rsp_flit_dbid_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_rx_dat_flit_data_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_pbha_value_in_all_snoop_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_data_pull_compdata_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_fwded_compdata_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_read_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_snoop_data_response_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_same_tagop_value_in_all_write_data_flits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_separate_dbidresp_and_comp_must_include_same_dbid_value_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_outstanding_dvmop_sync_request_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_outstanding_req_per_txn_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_per_txn_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_outstanding_snpdvmop_sync_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_req_order_stream_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_single_xact_mix_ok_exok_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snp_transaction_inactivity_timeout_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpclean_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleanfwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleaninvalid_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpcleanshared_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpdvmop_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpmakeinvalid_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpmakeinvalidstash_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpnotshareddirty_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpnotshareddirtyfwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snponce_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snponcefwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snppreferunique_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snppreferuniquefwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpquery_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_all_non_dvm_sync_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpresp_for_snpdvmop_sync_only_after_completion_of_prior_snpdvmop_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snprspdatafwded_compdata_data_integrity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpshared_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpsharedfwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpstashshared_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpstashunique_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpunique_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpuniquefwd_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_snpuniquestash_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashoncesepshared_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashoncesepunique_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashonceshared_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_stashonceunique_associated_comp_response_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disabled_state_traffic_validity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_disconnect_state_traffic_validity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_sysco_interface_coherency_enabled_state_traffic_validity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_sysco_interface_snoop_traffic_validity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_trace_tag_validity_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_transaction_inactivity_timeout_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_tx_dat_flit_data_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_unused_bits_in_dvm_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_byte_enable_for_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_cbwrdata_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_ccid_in_dat_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_combination_of_data_resp_err_field_in_read_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_comp_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_compack_rsp_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_compcmo_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_compdata_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_compdbid_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_comppersist_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_compstashdone_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_dat_flit_type_for_snp_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_data_source_in_compdata_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_data_source_in_datasepresp_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_data_source_in_snprespdata_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_datacheck_for_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_dbid_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_dbidrespord_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_exclusive_access_for_dmt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_final_state_for_non_invalidating_snp_when_outstanding_makereadunique_to_same_cacheline_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_fwd_state_in_fwded_snoop_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_fwded_response_type_for_ret_to_src_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_ncbwrdatacompack_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_noncbwrdata_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_order_of_dvmop_rspflits_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_order_type_for_non_dmt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dmt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_ordering_and_compack_combination_for_dwt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_p_crd_type_in_pcrdreturn_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_read_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_snoop_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_parity_datacheck_for_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_pcrdgrant_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_pcrdreturn_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_persist_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_barrier_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_resp_err_status_for_dvmop_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_resp_for_data_packet_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_response_combinations_for_cleansharedpersistsep_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_respsepdata_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_retry_xact_after_pcrdcgrant_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_retry_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_return_txn_id_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_rn_d_snoop_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_rspflit_for_dvmop_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_rxsactive_signal_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snp_response_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snpdvmop_part_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snpdvmop_req_part_num_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snprespdata_when_be_is_zero_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snprsp_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snprsp_for_snpdvmop_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_snprspfwded_flit_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_stashdone_flit_type_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_tag_update_value_in_write_data_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_tag_when_tu_is_zero_in_tag_update_write_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_dvmop_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_tlbi_fields_in_snpdvmop_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dmt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_transaction_supporting_dwt_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_txsactive_signal_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_write_data_when_be_is_zero_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_valid_writedatacancel_flit_for_xact_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_write_dat_xfer_after_dbid_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeback_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalid_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writebackfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeclean_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writecleanfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeevict_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeevictorevict_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnp_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resp_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpdef_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalid_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpfull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalid_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleaninvalidpopa_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writenosnpzero_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeunique_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefull_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquefullstash_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleanshared_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptl_cleansharedpersistsep_associated_response_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniqueptlstash_associated_response_data_packets_legal_resperr_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_comp_response_legal_cache_state_check |
class |
|
|
svt_chi_prot_err_check_stats_cov_writeuniquezero_associated_response_packets_legal_resperr_check |
class |
|
|
SVT_CHI_PROT_SVC_PRINT_PREFIX |
macro |
|
|
svt_chi_protocol_common |
class typedef |
defined in global |
|
svt_chi_protocol_err_check |
class |
|
|
SVT_CHI_PROTOCOL_LAYER |
macro |
|
|
svt_chi_protocol_service |
class |
|
|
svt_chi_protocol_service_base_sequence |
class |
|
|
svt_chi_protocol_service_coherency_entry_sequence |
class |
|
|
svt_chi_protocol_service_coherency_exit_sequence |
class |
|
|
svt_chi_protocol_service_random_coherency_exit_sequence |
class |
|
|
svt_chi_protocol_service_random_sequence |
class |
|
|
svt_chi_protocol_service_sequencer |
class |
|
|
svt_chi_protocol_status |
class |
|
|
SVT_CHI_QOS_WIDTH |
macro |
|
|
SVT_CHI_READ_FOLLOWED_BY_READ_PATTERN_SEQ |
macro |
|
|
svt_chi_read_followed_by_read_pattern_sequence |
class |
|
|
SVT_CHI_READ_FOLLOWED_BY_WRITE_PATTERN_SEQ |
macro |
|
|
svt_chi_read_followed_by_write_pattern_sequence |
class |
|
|
SVT_CHI_READ_TYPE_SEMANTIC |
macro |
|
|
SVT_CHI_READNOSNP_WITH_TAGOP_FETCH_FOLLOWED_BY_WRITENOSNP_WITH_TAGOP_MATCH_PATTERN_SEQ |
macro |
|
|
SVT_CHI_READUNIQUE_WITH_TAGOP_FETCH_FOLLOWED_BY_COBYBACK_XACT_WITH_TAGOP_TRANSFER_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REASONABLE_FLIT_BUFFER_SIZE |
macro |
|
|
SVT_CHI_REASONABLE_XACT_DAT_VC_ACCESS_FAIL_MAX_COUNT |
macro |
|
|
SVT_CHI_REASONABLE_XACT_RSP_VC_ACCESS_FAIL_MAX_COUNT |
macro |
|
|
svt_chi_reg_adapter |
class |
|
|
SVT_CHI_REORDERING_PRIORITIZED |
macro |
|
|
SVT_CHI_REORDERING_RANDOM |
macro |
|
|
SVT_CHI_REORDERING_ROUND_ROBIN |
macro |
|
|
SVT_CHI_REPLICATED_CHANNELS_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_REQ_ADDR_WIDTH |
macro |
|
|
SVT_CHI_REQ_EP_ORDERING_REQUIRED |
macro |
|
|
SVT_CHI_REQ_FLIT |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_ADDRESS |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_ALLOWRETRY |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_EXCL_SNPME_CAH |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_EXPCOMPACK |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_GROUPIDEXT |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_LIKELYSHARED |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_LPID_PGROUPID_TAGGROUPID_STASHGROUPID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_MEMATTR |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_MPAM |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_NS |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_NSE |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_OPCODE |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_ORDER |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_PBHA |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_PCRDTYPE |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_QOS |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_RETURNNID_STASHNID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_RETURNTXNID_STASHLPID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_RSVDC |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_SIZE |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_SNPATTR_DODWT |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_SRCID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_STASHLPID_VALID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_STASHNIDVALID_ENDIAN_DEEP_PREFETCHTGTHINT |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_TAGOP |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_TGTID |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_TRACETAG |
macro |
|
|
SVT_CHI_REQ_FLIT_LSB_TXNID |
macro |
|
|
SVT_CHI_REQ_FLIT_MAX_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_RD_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_EP_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_PATTERN_SEQ |
macro |
|
|
svt_chi_req_ordered_wr_followed_by_req_ordered_rd_pattern_sequence |
class |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_RD_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_DIFF_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERED_WR_FOLLOWED_BY_REQ_ORDERED_WR_W_SAME_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_REQ_ORDERING_REQUIRED |
macro |
|
|
SVT_CHI_REQ_PACK_UNPACK_WIDTH |
macro |
|
|
SVT_CHI_REQ_RESERVED_STASHLPID_WIDTH |
macro |
|
|
SVT_CHI_REQ_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_REQ_USER_EXTN_MAX_WIDTH |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICCOMPARE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_ADD |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_CLR |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_EOR |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SET |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMAX |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_SMIN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMAX |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICLOAD_UMIN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_ADD |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_CLR |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_EOR |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SET |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMAX |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_SMIN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMAX |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSTORE_UMIN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ATOMICSWAP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSIST |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_CLEANUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_DVMOP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_ECBARRIER |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_EOBARRIER |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_EVICT |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_MAKEINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_MAKEREADUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_MAKEUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_PCRDRETURN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_PREFETCHTGT |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READCLEAN |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READNOSNP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READNOSNPSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READNOTSHAREDDIRTY |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READONCE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READONCECLEANINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READONCEMAKEINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READPREFERUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READSPEC |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_READUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_REQLINKFLIT |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_STASHONCESEPSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_STASHONCESEPUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_STASHONCESHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_STASHONCEUNIQUE |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL_CLEANINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEBACKPTL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANFULL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITECLEANPTL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEEVICTFULL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEEVICTOREVICT |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPDEF |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL_CLEANINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL_CLEANINVALID |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPPTL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITENOSNPZERO |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEFULLSTASH |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTL |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTL_CLEANSHARED |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEPTLSTASH |
macro |
|
|
SVT_CHI_REQ_VC_FLIT_OP_WRITEUNIQUEZERO |
macro |
|
|
SVT_CHI_REQFLITV_FOR_PCREDITGRANT_VALID_REF |
macro |
|
|
SVT_CHI_REQFLITV_FOR_RETRYACK_VALID_REF |
macro |
|
|
SVT_CHI_REQUEST_ACCEPTED |
macro |
|
|
SVT_CHI_RESET_ALL_XACT |
macro |
|
|
SVT_CHI_RESP_ERR_STATUS_DATA_ERROR |
macro |
|
|
SVT_CHI_RESP_ERR_STATUS_EXCLUSIVE_OKAY |
macro |
|
|
SVT_CHI_RESP_ERR_STATUS_NON_DATA_ERROR |
macro |
|
|
SVT_CHI_RESP_ERR_STATUS_NORMAL_OKAY |
macro |
|
|
SVT_CHI_RESP_ERR_STATUS_WIDTH |
macro |
|
|
SVT_CHI_RESP_WIDTH |
macro |
|
|
SVT_CHI_RETRY_TRANS_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_RETRY_TRANS_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_RETRY_TRANS_W_DIFF_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_RETRY_TRANS_W_SAME_TXNID_AFTER_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_RETRY_TRANS_W_SAME_TXNID_BETWEEN_TWO_NORMAL_TRANSACTIONS_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
svt_chi_retry_transaction_after_two_normal_transaction_of_same_txnid_pattern_sequence |
class |
|
|
svt_chi_retry_transaction_between_two_normal_transaction_of_same_txnid_pattern_sequence |
class |
|
|
SVT_CHI_RETTOSRC_WIDTH |
macro |
|
|
SVT_CHI_RETURN_NID_WIDTH |
macro |
|
|
SVT_CHI_RETURN_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_RN |
macro |
|
|
svt_chi_rn_agent |
class |
|
| svt_chi_rn_agent |
class typedef |
defined in global |
|
svt_chi_rn_atomic_type_transaction_directed_sequence |
class |
|
|
SVT_CHI_RN_CLK |
macro |
|
|
svt_chi_rn_cmo_type_transaction_directed_sequence |
class |
|
|
svt_chi_rn_coherent_transaction_base_sequence |
class |
|
|
svt_chi_rn_copyback_type_transaction_directed_sequence |
class |
|
|
svt_chi_rn_directed_snoop_response_sequence |
class |
|
|
svt_chi_rn_ecbarrier_sequence |
class |
|
|
svt_chi_rn_eobarrier_sequence |
class |
|
|
svt_chi_rn_exclusive_access_sequence |
class |
|
|
svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container |
class |
|
| svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container |
class typedef |
defined in global |
|
svt_chi_rn_go_noncoherent_sequence |
class |
|
|
svt_chi_rn_if |
interface |
|
|
SVT_CHI_RN_IF_HOLD_TIME |
macro |
|
|
SVT_CHI_RN_IF_SETUP_TIME |
macro |
|
|
svt_chi_rn_input_port_type |
class typedef |
defined in global |
|
svt_chi_rn_link |
class |
|
|
svt_chi_rn_link_callback |
class |
|
|
svt_chi_rn_link_callback_pool |
class typedef |
defined in global |
|
svt_chi_rn_link_cb_exec |
class typedef |
defined in global |
|
svt_chi_rn_link_monitor |
class |
|
| svt_chi_rn_link_monitor |
class typedef |
defined in global |
|
svt_chi_rn_link_monitor_callback |
class |
|
| svt_chi_rn_link_monitor_callback |
class typedef |
defined in global |
|
svt_chi_rn_link_monitor_callback_pool |
class typedef |
defined in global |
|
svt_chi_rn_link_monitor_cb_exec |
class typedef |
defined in global |
|
svt_chi_rn_link_monitor_def_cov_callback |
class |
|
|
svt_chi_rn_link_monitor_def_cov_data_callback |
class |
|
|
svt_chi_rn_link_monitor_def_state_cov_callback |
class |
|
|
svt_chi_rn_link_monitor_def_state_cov_data_callbacks |
class |
|
|
svt_chi_rn_link_monitor_def_toggle_cov_callback |
class |
|
|
svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks |
class |
|
|
svt_chi_rn_link_monitor_transaction_report_callback |
class |
|
|
svt_chi_rn_link_monitor_transaction_xml_callback |
class |
|
| svt_chi_rn_link_monitor_transaction_xml_callback |
class typedef |
defined in global |
|
svt_chi_rn_makereadunique_type_transaction_directed_sequence |
class |
|
|
svt_chi_rn_makeunique_cache_initialization_directed_sequence |
class |
|
|
SVT_CHI_RN_MAX_RXDAT_CHANNELS |
macro |
|
|
SVT_CHI_RN_MAX_RXRSP_CHANNELS |
macro |
|
|
SVT_CHI_RN_MAX_RXSNP_CHANNELS |
macro |
|
|
SVT_CHI_RN_MAX_TXDAT_CHANNELS |
macro |
|
|
SVT_CHI_RN_MAX_TXREQ_CHANNELS |
macro |
|
|
SVT_CHI_RN_MAX_TXRSP_CHANNELS |
macro |
|
|
svt_chi_rn_prefetchtgt_type_transaction_directed_sequence |
class |
|
|
svt_chi_rn_protocol |
class |
|
|
svt_chi_rn_protocol_callback |
class |
|
|
svt_chi_rn_protocol_callback_pool |
class typedef |
defined in global |
|
svt_chi_rn_protocol_cb_exec |
class typedef |
defined in global |
|
svt_chi_rn_protocol_monitor |
class |
|
| svt_chi_rn_protocol_monitor |
class typedef |
defined in global |
|
svt_chi_rn_read_type_transaction_directed_sequence |
class |
|
|
svt_chi_rn_reg_transaction |
class |
|
|
SVT_CHI_RN_RESETN |
macro |
|
|
svt_chi_rn_snoop_response_sequence |
class |
|
|
svt_chi_rn_snoop_transaction |
class |
|
| svt_chi_rn_snoop_transaction |
class typedef |
defined in global |
|
svt_chi_rn_snoop_transaction_sequencer |
class |
|
|
svt_chi_rn_transaction |
class |
|
| svt_chi_rn_transaction |
class typedef |
defined in global |
|
svt_chi_rn_transaction_base_sequence |
class |
|
| svt_chi_rn_transaction_base_sequence |
class typedef |
defined in global |
|
svt_chi_rn_transaction_dvm_sync_sequence |
class |
|
|
svt_chi_rn_transaction_dvm_write_semantic_sequence |
class |
|
|
svt_chi_rn_transaction_exception |
class |
|
| svt_chi_rn_transaction_exception |
class typedef |
defined in global |
|
svt_chi_rn_transaction_exception_list |
class |
|
|
SVT_CHI_RN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
svt_chi_rn_transaction_null_sequence |
class |
|
|
svt_chi_rn_transaction_random_sequence |
class |
|
|
svt_chi_rn_transaction_sequencer |
class |
|
| svt_chi_rn_transaction_sequencer |
class typedef |
defined in global |
|
svt_chi_rn_transaction_sequencer_callback |
class |
|
| svt_chi_rn_transaction_sequencer_callback |
class typedef |
defined in global |
|
svt_chi_rn_transaction_sequencer_callback_pool |
class typedef |
defined in global |
|
svt_chi_rn_transaction_xact_type_sequence |
class |
|
|
svt_chi_rn_vif |
interface typedef |
defined in class svt_chi_node_configuration |
| svt_chi_rn_vif |
interface typedef |
defined in class svt_chi_rn_link |
| svt_chi_rn_vif |
interface typedef |
defined in class svt_chi_rn_link_monitor |
| svt_chi_rn_vif |
interface typedef |
defined in class svt_chi_rn_agent |
|
svt_chi_rn_virtual_sequencer |
class |
|
|
svt_chi_rn_write_type_transaction_directed_sequence |
class |
|
|
SVT_CHI_RSP_FLIT |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_CBUSY |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_DBID_PGROUPID |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_FWDSTATE_DATAPULL |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_OPCODE |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_PCRDTYPE |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_QOS |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_RESP |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_RESPERR |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_SRCID |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_TAGOP |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_TGTID |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_TRACETAG |
macro |
|
|
SVT_CHI_RSP_FLIT_LSB_TXNID |
macro |
|
|
SVT_CHI_RSP_PACK_UNPACK_WIDTH |
macro |
|
|
SVT_CHI_RSP_RESERVED_GROUPID_WIDTH |
macro |
|
|
SVT_CHI_RSP_USER_EXTN_MAX_WIDTH |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMP |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMPACK |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMPCMO |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMPDBIDRESP |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMPPERSIST |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_COMPSTASHDONE |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_DBIDRESP |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_DBIDRESPORD |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_PCRDGRANT |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_PERSIST |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_READRECEIPT |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_RESPSEPDATA |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_RETRYACK |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_RSPLINKFLIT |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_SNPRESP |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_SNPRESPFWDED |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_STASHDONE |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_TAGMATCH |
macro |
|
|
SVT_CHI_RSP_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_RSPFLITV_FOR_PCREDITGRANT_TO_RETRYACK_VALID_REF |
macro |
|
|
SVT_CHI_RSPFLITV_FOR_RETRYACK_TO_PCREDITGRANT_VALID_REF |
macro |
|
|
SVT_CHI_RXLA_ACK_ASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_RXLA_ACK_ASSERTION_MIN_DELAY |
macro |
|
|
SVT_CHI_RXLA_ACK_DEASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_RXLA_ACK_DEASSERTION_MIN_DELAY |
macro |
|
|
SVT_CHI_RXLA_ACTIVATE_STATE |
macro |
|
|
SVT_CHI_RXLA_DEACTIVATE_STATE |
macro |
|
|
SVT_CHI_RXLA_RUN_STATE |
macro |
|
|
SVT_CHI_RXLA_STOP_STATE |
macro |
|
|
SVT_CHI_RXRSPFLITV_VALID_REF |
macro |
|
|
SVT_CHI_RXSNPFLITV_VALID_REF |
macro |
|
|
svt_chi_scenario_coverage |
class |
|
|
svt_chi_scenario_coverage_database |
class |
|
| svt_chi_scenario_coverage_database |
class typedef |
defined in global |
|
SVT_CHI_SCENARIO_GEN_SOURCE |
macro |
|
|
SVT_CHI_SIMPLE_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_CHI_SIZE_WIDTH |
macro |
|
|
SVT_CHI_SLC_REPLACEMENT_HINT_WIDTH |
macro |
|
|
SVT_CHI_SLCREPLACEMENTHINT_REPLACEMENT_FIELD_WIDTH |
macro |
|
|
SVT_CHI_SLCREPLACEMENTHINT_RESERVED_FIELD_WIDTH |
macro |
|
|
SVT_CHI_SN |
macro |
|
|
svt_chi_sn_agent |
class |
|
|
SVT_CHI_SN_CLK |
macro |
|
|
svt_chi_sn_if |
interface |
|
|
SVT_CHI_SN_IF_HOLD_TIME |
macro |
|
|
SVT_CHI_SN_IF_SETUP_TIME |
macro |
|
|
svt_chi_sn_link |
class |
|
|
svt_chi_sn_link_callback |
class |
|
|
svt_chi_sn_link_callback_pool |
class typedef |
defined in global |
|
svt_chi_sn_link_cb_exec |
class typedef |
defined in global |
|
svt_chi_sn_link_monitor |
class |
|
| svt_chi_sn_link_monitor |
class typedef |
defined in global |
|
svt_chi_sn_link_monitor_callback |
class |
|
| svt_chi_sn_link_monitor_callback |
class typedef |
defined in global |
|
svt_chi_sn_link_monitor_callback_pool |
class typedef |
defined in global |
|
svt_chi_sn_link_monitor_cb_exec |
class typedef |
defined in global |
|
svt_chi_sn_link_monitor_def_cov_callback |
class |
|
|
svt_chi_sn_link_monitor_def_cov_data_callback |
class |
|
|
svt_chi_sn_link_monitor_def_state_cov_callback |
class |
|
|
svt_chi_sn_link_monitor_def_state_cov_data_callbacks |
class |
|
|
svt_chi_sn_link_monitor_def_toggle_cov_callback |
class |
|
|
svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks |
class |
|
|
svt_chi_sn_link_monitor_transaction_report_callback |
class |
|
|
svt_chi_sn_link_monitor_transaction_xml_callback |
class |
|
| svt_chi_sn_link_monitor_transaction_xml_callback |
class typedef |
defined in global |
|
SVT_CHI_SN_MAX_RXDAT_CHANNELS |
macro |
|
|
SVT_CHI_SN_MAX_RXREQ_CHANNELS |
macro |
|
|
SVT_CHI_SN_MAX_TXDAT_CHANNELS |
macro |
|
|
SVT_CHI_SN_MAX_TXRSP_CHANNELS |
macro |
|
|
svt_chi_sn_protocol |
class |
|
|
svt_chi_sn_protocol_callback |
class |
|
|
svt_chi_sn_protocol_callback_pool |
class typedef |
defined in global |
|
svt_chi_sn_protocol_cb_exec |
class typedef |
defined in global |
|
svt_chi_sn_protocol_monitor |
class |
|
| svt_chi_sn_protocol_monitor |
class typedef |
defined in global |
|
SVT_CHI_SN_RESETN |
macro |
|
|
svt_chi_sn_transaction |
class |
|
| svt_chi_sn_transaction |
class typedef |
defined in global |
|
svt_chi_sn_transaction_base_sequence |
class |
|
| svt_chi_sn_transaction_base_sequence |
class typedef |
defined in global |
|
svt_chi_sn_transaction_exception |
class |
|
| svt_chi_sn_transaction_exception |
class typedef |
defined in global |
|
svt_chi_sn_transaction_exception_list |
class |
|
|
SVT_CHI_SN_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
svt_chi_sn_transaction_memory_sequence |
class |
|
|
svt_chi_sn_transaction_null_sequence |
class |
|
|
svt_chi_sn_transaction_sequencer |
class |
|
| svt_chi_sn_transaction_sequencer |
class typedef |
defined in global |
|
svt_chi_sn_vif |
interface typedef |
defined in class svt_chi_node_configuration |
| svt_chi_sn_vif |
interface typedef |
defined in class svt_chi_sn_link |
| svt_chi_sn_vif |
interface typedef |
defined in class svt_chi_sn_link_monitor |
| svt_chi_sn_vif |
interface typedef |
defined in class svt_chi_sn_agent |
|
svt_chi_sn_virtual_sequencer |
class |
|
|
SVT_CHI_SNOOP_INIT_STATE_ISUNIQUE |
macro |
|
|
svt_chi_snoop_input_port_type |
class typedef |
defined in global |
|
SVT_CHI_SNOOP_PRINT_PREFIX |
macro |
|
|
SVT_CHI_SNOOP_RESP_ISSHARED |
macro |
|
|
SVT_CHI_SNOOP_RESP_ISUNIQUE |
macro |
|
|
svt_chi_snoop_transaction |
class |
|
| svt_chi_snoop_transaction |
class typedef |
defined in global |
|
svt_chi_snoop_transaction_base_sequence |
class |
|
|
svt_chi_snoop_transaction_exception |
class |
|
| svt_chi_snoop_transaction_exception |
class typedef |
defined in global |
|
svt_chi_snoop_transaction_exception_list |
class |
|
|
SVT_CHI_SNOOP_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_NO_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_NO_LIKELYSHARED_ORDER_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_RD_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_RD_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNOOPABLE_WRITEBACK_NO_ALLOCATE_WR_FOLLOWED_BY_WR_W_SAME_CACHELINE_ADDR_PATTERN_SEQ |
macro |
|
|
SVT_CHI_SNP_ADDR_WIDTH |
macro |
|
|
SVT_CHI_SNP_ATTR_WIDTH |
macro |
|
|
SVT_CHI_SNP_DOMAIN_INNER |
macro |
|
|
SVT_CHI_SNP_DOMAIN_OUTER |
macro |
|
|
SVT_CHI_SNP_ERR_EXCL_SEQ_FAIL |
macro |
|
|
SVT_CHI_SNP_FLIT |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_ADDR |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_DONOTGOTOSD |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_FWDNID_PBHA |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_FWDTXNID |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_MPAM |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_NS |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_NSE |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_OPCODE |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_QOS |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_RETTOSRC |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_SRCID |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_STASHLPID_VALID |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_TRACETAG |
macro |
|
|
SVT_CHI_SNP_FLIT_LSB_TXNID |
macro |
|
|
SVT_CHI_SNP_PACK_UNPACK_WIDTH |
macro |
|
|
SVT_CHI_SNP_PRINT_PREFIX |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEAN |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANINVALID |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPCLEANSHARED |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPDVMOP |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPLINKFLIT |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALID |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPMAKEINVALIDSTASH |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTY |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPNOTSHAREDDIRTYFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCE |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPONCEFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPPREFERUNIQUE |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPPREFERUNIQUEFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPQUERY |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHARED |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSHAREDFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHSHARED |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPSTASHUNIQUE |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUE |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUEFWD |
macro |
|
|
SVT_CHI_SNP_REQ_MSG_TYPE_SNPUNIQUESTASH |
macro |
|
|
SVT_CHI_SNP_RESERVED_STASHLPID_WIDTH |
macro |
|
|
SVT_CHI_SNP_RESERVED_VMIDEXT_WIDTH |
macro |
|
|
SVT_CHI_SNP_USER_EXTN_MAX_WIDTH |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEAN |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANINVALID |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPCLEANSHARED |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPDVMOP |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPLINKFLIT |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALID |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPMAKEINVALIDSTASH |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTY |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPNOTSHAREDDIRTYFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPONCE |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPONCEFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPPREFERUNIQUE |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPPREFERUNIQUEFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPQUERY |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPSHARED |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPSHAREDFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHSHARED |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPSTASHUNIQUE |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUE |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUEFWD |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_SNPUNIQUESTASH |
macro |
|
|
SVT_CHI_SNP_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MAX_VALUE |
macro |
|
|
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MID_BIN_VAL_RANGE |
macro |
|
|
SVT_CHI_SNPRESP2SNPRESP_DELAY_COV_MIN_VALUE |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_D |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_FOR_ISSUE_E |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_DBID_VALUE_UPTO_ISSUE_C |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_FOR_ISSUE_D |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_SNP_XACT_UPTO_ISSUE_C |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_FOR_ISSUE_D |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_NUM_OUTSTANDING_XACT_UPTO_ISSUE_C |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_D |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_FOR_ISSUE_E |
macro |
|
|
SVT_CHI_SPEC_PERMITTED_MAX_TXNID_VALUE_UPTO_ISSUE_C |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_A |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_B |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_C |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_D |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_E |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_F |
macro |
|
|
SVT_CHI_SPEC_REV_ISSUE_G |
macro |
|
|
SVT_CHI_SRC_ID_WIDTH |
macro |
|
|
SVT_CHI_STANDARD_DATA_FORMAT |
macro |
|
|
SVT_CHI_STASH_LPID_WIDTH |
macro |
|
|
SVT_CHI_STASH_NID_WIDTH |
macro |
|
|
SVT_CHI_STASHGROUPID_WIDTH |
macro |
|
|
SVT_CHI_STASHLPIDVALID_WIDTH |
macro |
|
|
SVT_CHI_STASHNIDVALID_WIDTH |
macro |
|
|
svt_chi_status |
class |
|
|
SVT_CHI_STREAMING_ORDERED_WRITENOSNP_TRANSACTIONS |
macro |
|
|
SVT_CHI_STREAMING_ORDERED_WRITEUNIQUE_TRANSACTIONS |
macro |
|
|
SVT_CHI_SUPER_MAX_DAT_FLIT_WIDTH |
macro |
|
|
SVT_CHI_SYS_CFG_ABF_ENABLE |
macro |
|
|
SVT_CHI_SYS_COV_NUM_BYTE_ENABLE_BINS |
macro |
|
|
svt_chi_sys_err_check_stats_cov_associated_snoop_trace_tag_validity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_atomic_returned_initial_data_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_atomic_returned_initial_tag_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_cache_state_of_stash_resp_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_cache_state_of_xact_resp_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_and_snoop_data_match_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_and_snoop_tag_match_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_atomic_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_copyback_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_read_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_atomic_write_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_atomic_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_copyback_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_read_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_copyback_write_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_atomic_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_copyback_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_read_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_read_write_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_req_compack_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_resp_isshared_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_resp_passdirty_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_resp_start_conditions_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_snoop_domain_match_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_snoop_type_match_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_atomic_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_copyback_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_read_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_after_slave_xact_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_write_write_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_coherent_xact_with_no_snoop_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_comp_after_snoop_xacts_completion_with_dwt_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_comp_or_compdata_response_after_snoop_xacts_completion_for_atomic_transaction_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_copyback_data_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_data_integrity_for_read_with_hazard_write_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_dmt_after_snoop_xacts_completion_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_exclusive_chi_transaction_type_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_exclusive_load_resp_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_exclusive_store_resp_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_expected_tag_match_result_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_forward_atomics_to_slave_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_forward_cmos_to_slave_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_interconnect_dvm_operation_snoop_transaction_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_interconnect_dvm_response_timing_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_interconnect_dvm_snoop_timing_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_interconnect_dvm_sync_snoop_transaction_association_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_interconnect_outstanding_snpdvmop_sync_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_slave_xact_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_matching_mpam_values_for_original_request_and_associated_stash_snoop_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_stash_snoop_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_matching_pbha_values_for_original_request_and_associated_subordinate_xact_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_memory_attributes_propagation_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_multiple_abf_requests_targeted_to_same_addr_and_to_same_target |
class |
|
|
svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dmt_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_no_snoop_resp_dirty_with_dwt_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_only_one_forward_snoop_per_coherent_transaction_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_only_one_snoop_returns_data_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_only_one_snoop_with_rettosrc_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_ordering_of_xacts_when_dbidrespord_received_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_overlapping_addr_sequencing_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_read_data_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_read_tag_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_rn_combined_writecmo_xact_propagated_to_slave_custom_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_same_memory_snoop_attributes_for_addr_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_single_rn_optimized_streaming_order_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_slave_data_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_slave_poison_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_slave_tag_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_slave_transaction_routing_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_slave_xacts_ordering_for_ordered_rn_xact_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_addr_matches_coherent_addr_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_data_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_not_sent_to_initiating_master_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_resp_passdirty_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_resp_wasunique_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_snoop_tag_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_stash_data_pull_integrity_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_tag_not_dirty_at_any_rn_when_snpmakeinvalid_issued_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_transaction_completion_and_observability_hazard_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_valid_combined_writecmo_slave_xact_custom_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_valid_dvm_response_from_interconnect_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_valid_snp_xact_type_for_makereadunique_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_valid_xacts_for_optimized_dmt_check |
class |
|
|
svt_chi_sys_err_check_stats_cov_write_tag_integrity_check |
class |
|
|
SVT_CHI_SYSCOACK_ASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_SYSCOACK_DEASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_SYSCOREQ_ASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_SYSCOREQ_DEASSERTION_MAX_DELAY |
macro |
|
|
svt_chi_system_barrier_sequence |
class |
|
|
svt_chi_system_base_virtual_sequence |
class |
|
|
svt_chi_system_cacheline_initialization_virtual_sequence |
class |
|
| svt_chi_system_cacheline_initialization_virtual_sequence |
class typedef |
defined in global |
|
svt_chi_system_cacheline_invalidation_virtual_sequence |
class |
|
| svt_chi_system_cacheline_invalidation_virtual_sequence |
class typedef |
defined in global |
|
svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_chi_e_protocol_flow_ctrl_combined_noncopyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_coherent_virtual_sequence |
class |
|
|
svt_chi_system_configuration |
class |
|
| svt_chi_system_configuration |
class typedef |
defined in global |
|
svt_chi_system_domain_item |
class |
|
| svt_chi_system_domain_item |
class typedef |
defined in global |
|
svt_chi_system_env |
class |
|
| svt_chi_system_env |
class typedef |
defined in global |
|
svt_chi_system_err_check |
class |
|
| svt_chi_system_err_check |
class typedef |
defined in global |
|
svt_chi_system_hn_status |
class |
|
|
svt_chi_system_monitor |
class |
|
| svt_chi_system_monitor |
class typedef |
defined in global |
|
svt_chi_system_monitor_callback |
class |
|
| svt_chi_system_monitor_callback |
class typedef |
defined in global |
|
svt_chi_system_monitor_callback_data |
class |
|
|
svt_chi_system_monitor_callback_pool |
class typedef |
defined in global |
|
svt_chi_system_monitor_chi_coherent_snoop_association_callback_data |
class |
|
|
svt_chi_system_monitor_def_cov_callback |
class |
|
| svt_chi_system_monitor_def_cov_callback |
class typedef |
defined in global |
|
svt_chi_system_monitor_def_cov_data_callback |
class |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_ASSOCIATED_NONSTASH_SNOOP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORT2 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_ATOMIC_XACT_ON_RN_I_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORT2 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_NON_ATOMIC_XACT_ON_RN_F_PORTS_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORT2 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_ON_RN_I_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_COHERENT_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_FINAL_CACHE_LINE_STATE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_HOME_NODE_IDX |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORT2 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_F_PORTS_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NON_COHERENT_XACT_ON_RN_I_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNOOP_RESP_HAS_DATA_XFER |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASH_SNP_RESP_PD |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_NONSTASHTYPE_ASSOCIATED_SNP_PRESENT |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_READ_XACT_ASSOC_SNP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_EXCL_WRITE_XACT_ASSOC_SNP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_COHERENT_EXCLUSIVE_READ_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_READ_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_F_PORT2_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_COHERENT_EXCLUSIVE_READ_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_READ_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_RESPONSE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_I_PORT1_COHERENT_EXCLUSIVE_WRITE_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_RN_XACT_BYTE_ENABLE_VAL |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNOOP_FILTER_ACCESS_STATUS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_ASSOCIATE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_DATA_XFER |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_PTL_DATA_XFER |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RESP_PD |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_RSP_FINAL_CACHE_LINE_STATE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SNP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_LPID_VALID |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_NID_VALID |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNOOP_RESP_HAS_DATA_XFER |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_RESP_PD |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_DONOTDATAPULL |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_FINAL_STATE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_DMT_USED |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_IS_RESPSEPDATA_DATASEPRESP_FLOW_USED |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASH_SNPRESP_DATAPULL_READ_DATARESP_PASSDIRTY |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCESHARED_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHONCEUNIQUE_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_STASHTYPE_ASSOCIATED_SNP_PRESENT |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_DONOTGOTOSD |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RETTOSRC |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_SYS_XACT_SNP_RSP_DATATRANSFER |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEDATACANCEL_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTL_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUEPTLSTASH_OPCODE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_DEF_COV_UTIL_WRITEUNIQUESTASH_OPCODE |
macro |
|
|
svt_chi_system_monitor_end_of_simulation_callback_data |
class |
|
|
svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data |
class |
|
| svt_chi_system_monitor_exclusive_sequence_transaction_activity_callback_data |
class typedef |
defined in global |
|
svt_chi_system_monitor_issue_e_def_cov_callback |
class |
|
| svt_chi_system_monitor_issue_e_def_cov_callback |
class typedef |
defined in global |
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_CHI_E_SNP_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_COHERENT_CHI_E_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_COHERENT_CHI_E_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_COHERENT_CHI_E_XACT_ON_RN_F_PORTS_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_COHERENT_CHI_E_XACT_ON_RN_I_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_COHERENT_CHI_E_XACT_TYPE |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_NON_COHERENT_CHI_E_XACT_ON_RN_F_PORT1 |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_NON_COHERENT_CHI_E_XACT_ON_RN_F_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_NON_COHERENT_CHI_E_XACT_ON_RN_F_PORTS_DETAILED_BINS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_NON_COHERENT_CHI_E_XACT_ON_RN_I_PORTS |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_NUM_OUTSTANDING_XACTS_FROM_DIFF_SRC_ID_WRT_SRC_ID_OF_CURRENT_TXN_WHICH_RECEIVED_DBIDRESPORD |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_SNP_XACTS_TO_OTHER_RN_WITH_DIFF_NS_BIT_WHEN_RN_XACT_RECEIVED_DBIDRESPORD_RESP |
macro |
|
|
SVT_CHI_SYSTEM_MONITOR_ISSUE_E_DEF_COV_UTIL_STASHONCESEP_OPCODE |
macro |
|
|
svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data |
class |
|
|
svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data |
class |
|
|
svt_chi_system_monitor_system_data |
class |
|
|
svt_chi_system_monitor_transaction_xml_callback |
class |
|
| svt_chi_system_monitor_transaction_xml_callback |
class typedef |
defined in global |
|
svt_chi_system_multi_node_random_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_atomic_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_atomic_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_cmo_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_cmo_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_cmo_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_copyback_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_prefetchtgt_read_outstanding_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_prefetchtgt_write_outstanding_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_read_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_write_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_write_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_write_resp_same_txnid_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_diff_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_diff_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_writesoptionaldata_outstanding_same_rn_same_hn_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_writeunique_suspend_compack_virtual_sequence |
class |
|
|
svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence |
class |
|
|
svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence |
class |
|
|
svt_chi_system_rn_coherent_transaction_base_virtual_sequence |
class |
|
| svt_chi_system_rn_coherent_transaction_base_virtual_sequence |
class typedef |
defined in global |
|
svt_chi_system_single_node_atomiccompare_transaction_virtual_sequence |
class |
|
|
svt_chi_system_single_node_atomicload_transaction_virtual_sequence |
class |
|
|
svt_chi_system_single_node_atomicstore_transaction_virtual_sequence |
class |
|
|
svt_chi_system_single_node_atomicswap_transaction_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleaninvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleaninvalidpopa_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleansharedpersist_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_cleanunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_combined_write_cmo_base_virtual_sequence |
class |
|
|
svt_chi_system_single_node_dvm_virtual_sequence |
class |
|
|
svt_chi_system_single_node_evict_virtual_sequence |
class |
|
|
svt_chi_system_single_node_makeinvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_makereadunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_makeunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_prefetchtgt_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readclean_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readnosnp_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readnotshareddirty_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readonce_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readoncecleaninvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readoncemakeinvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readpreferunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readspec_virtual_sequence |
class |
|
|
svt_chi_system_single_node_readunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence |
class |
|
|
svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence |
class |
|
|
svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
class |
|
|
svt_chi_system_single_node_stashoncesepshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_stashoncesepunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_stashonceshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_stashonceunique_virtual_sequence |
class |
|
|
svt_chi_system_single_node_write_followed_by_cmo_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackfull_cleaninvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackfull_cleaninvalidpopa_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackfull_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackfull_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackfull_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writebackptl_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writecleanfull_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writecleanfull_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writecleanfull_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writecleanptl_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeevictfull_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeevictorevict_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpdef_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpfull_cleaninvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpfull_cleaninvalidpopa_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpfull_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpfull_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpfull_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpptl_cleaninvalid_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpptl_cleaninvalidpopa_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpptl_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpptl_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpptl_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writenosnpzero_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniquefull_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniquefull_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniquefull_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniquefullstash_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniqueptl_cleanshared_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniqueptl_cleansharedpersistsep_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniqueptl_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniqueptlstash_virtual_sequence |
class |
|
|
svt_chi_system_single_node_writeuniquezero_virtual_sequence |
class |
|
|
svt_chi_system_status |
class |
|
| svt_chi_system_status |
class typedef |
defined in global |
|
svt_chi_system_transaction |
class |
|
| svt_chi_system_transaction |
class typedef |
defined in global |
|
SVT_CHI_SYSTEM_TRANSACTION_SV |
macro |
|
|
svt_chi_system_virtual_sequencer |
class |
|
|
SVT_CHI_TAG_ADDR_WIDTH |
macro |
|
|
SVT_CHI_TAG_MATCH_RESP_WIDTH |
macro |
|
|
SVT_CHI_TAGGROUPID_WIDTH |
macro |
|
|
SVT_CHI_TAGOP_WIDTH |
macro |
|
|
SVT_CHI_TGT_ID_WIDTH |
macro |
|
|
SVT_CHI_THREE_READ_REQUEST_EP_ORDERING_TRANSACTION_PATTERN_SEQ |
macro |
|
|
svt_chi_three_read_request_ordering_pattern_sequence |
class |
|
|
SVT_CHI_THREE_READ_REQUEST_ORDERING_TRANSACTION_PATTERN_SEQ |
macro |
|
|
SVT_CHI_THREE_READ_REQUEST_REQ_ORDERING_TRANSACTION_PATTERN_SEQ |
macro |
|
|
SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_NON_DVMOP_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
SVT_CHI_TLBI_FOLLOWED_BY_CANCEL_TLBI_FOLLOWED_BY_TLBI_OF_SAME_TXNID_FOLLOWED_BY_SYNC_FOLLOWED_BY_CANCEL_SYNC_FOLLOWED_BY_SYNC_OF_SAME_TXNID_PATTERN_SEQ |
macro |
|
|
svt_chi_tlm_generic_payload_sequencer |
class |
|
|
SVT_CHI_TRACE_TAG_WIDTH |
macro |
|
|
svt_chi_transaction |
class |
|
| svt_chi_transaction |
class typedef |
defined in global |
|
svt_chi_transaction_exception |
class |
|
| svt_chi_transaction_exception |
class typedef |
defined in global |
|
svt_chi_transaction_exception_list |
class |
|
|
SVT_CHI_TRANSACTION_EXCEPTION_LIST_MAX_NUM_EXCEPTIONS |
macro |
|
|
SVT_CHI_TRANSACTION_INTERLEAVE_RANDOM_BLOCK |
macro |
|
|
SVT_CHI_TRANSACTION_IS_RESP_OK |
macro |
|
|
SVT_CHI_TXDATFLITPEND_VALID_REF |
macro |
|
|
SVT_CHI_TXLA_ACTIVATE_STATE |
macro |
|
|
SVT_CHI_TXLA_DEACTIVATE_STATE |
macro |
|
|
SVT_CHI_TXLA_REQ_ASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_TXLA_REQ_ASSERTION_MIN_DELAY |
macro |
|
|
SVT_CHI_TXLA_REQ_DEASSERTION_MAX_DELAY |
macro |
|
|
SVT_CHI_TXLA_REQ_DEASSERTION_MIN_DELAY |
macro |
|
|
SVT_CHI_TXLA_RUN_STATE |
macro |
|
|
SVT_CHI_TXLA_STOP_STATE |
macro |
|
|
SVT_CHI_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_TXREQFLITPEND_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_COMP_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_COMPDATA_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_COMPDBID_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_COMPPERSIST_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_COMPSTASHDONE_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_DBID_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_DBIDRESPORD_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_PERSIST_VALID_REF |
macro |
|
|
SVT_CHI_TXREQFLITV_FOR_STASHDONE_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITPEND_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_COMPTODBID_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_COMPTODBIDRESPORD_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_COMPTOSTASHDONE_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_DBIDRESPORDTOCOMP_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_DBIDTOCOMP_VALID_REF |
macro |
|
|
SVT_CHI_TXRSPFLITV_FOR_STASHDONETOCOMP_VALID_REF |
macro |
|
|
SVT_CHI_TXSNPFLITPEND_VALID_REF |
macro |
|
|
SVT_CHI_USER_EXTN_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_USER_EXTN_MAX_PERMITTED_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_USER_EXTN_SNP_USER_WIDTH_INTERNAL_ENABLE |
macro |
|
|
SVT_CHI_USER_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_CHI_USER_SNOOP_RESPONSE_GEN_SOURCE |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_0 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_1 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_10 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_100 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_101 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_102 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_103 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_104 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_105 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_106 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_107 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_108 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_109 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_11 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_110 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_111 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_112 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_113 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_114 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_115 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_116 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_117 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_118 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_119 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_12 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_120 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_121 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_122 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_123 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_124 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_125 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_126 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_127 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_128 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_129 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_13 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_130 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_131 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_132 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_133 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_134 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_135 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_136 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_137 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_138 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_139 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_14 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_140 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_141 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_142 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_143 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_144 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_145 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_146 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_147 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_148 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_149 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_15 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_150 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_151 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_152 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_153 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_154 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_155 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_156 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_157 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_158 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_159 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_16 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_160 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_161 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_162 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_163 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_164 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_165 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_166 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_167 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_168 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_169 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_17 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_170 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_171 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_172 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_173 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_174 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_175 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_176 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_177 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_178 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_179 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_18 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_180 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_181 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_182 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_183 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_184 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_185 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_186 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_187 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_188 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_189 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_19 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_190 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_191 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_192 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_193 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_194 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_195 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_196 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_197 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_198 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_199 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_2 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_20 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_200 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_201 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_202 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_203 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_204 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_205 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_206 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_207 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_208 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_209 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_21 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_210 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_211 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_212 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_213 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_214 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_215 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_216 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_217 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_218 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_219 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_22 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_220 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_221 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_222 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_223 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_224 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_225 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_226 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_227 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_228 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_229 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_23 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_230 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_231 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_232 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_233 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_234 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_235 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_236 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_237 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_238 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_239 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_24 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_240 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_241 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_242 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_243 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_244 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_245 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_246 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_247 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_248 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_249 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_25 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_250 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_251 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_252 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_253 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_254 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_255 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_256 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_257 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_258 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_259 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_26 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_260 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_261 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_262 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_263 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_264 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_265 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_266 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_267 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_268 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_269 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_27 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_270 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_271 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_272 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_273 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_274 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_275 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_276 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_277 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_278 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_279 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_28 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_280 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_281 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_282 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_283 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_284 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_285 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_286 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_287 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_288 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_289 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_29 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_290 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_291 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_292 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_293 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_294 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_295 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_296 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_297 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_298 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_299 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_3 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_30 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_300 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_301 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_302 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_303 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_304 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_305 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_306 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_307 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_308 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_309 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_31 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_310 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_311 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_312 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_313 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_314 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_315 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_316 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_317 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_318 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_319 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_32 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_320 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_321 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_322 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_323 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_324 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_325 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_326 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_327 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_328 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_329 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_33 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_330 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_331 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_332 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_333 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_334 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_335 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_336 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_337 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_338 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_339 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_34 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_340 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_341 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_342 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_343 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_344 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_345 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_346 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_347 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_348 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_349 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_35 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_350 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_351 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_352 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_353 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_354 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_355 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_356 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_357 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_358 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_359 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_36 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_360 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_361 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_362 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_363 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_364 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_365 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_366 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_367 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_368 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_369 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_37 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_370 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_371 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_372 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_373 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_374 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_375 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_376 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_377 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_378 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_379 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_38 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_380 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_381 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_382 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_383 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_384 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_385 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_386 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_387 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_388 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_389 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_39 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_390 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_391 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_392 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_393 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_394 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_395 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_396 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_397 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_398 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_399 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_4 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_40 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_400 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_401 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_402 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_403 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_404 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_405 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_406 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_407 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_408 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_409 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_41 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_410 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_411 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_412 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_413 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_414 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_415 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_416 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_417 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_418 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_419 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_42 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_420 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_421 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_422 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_423 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_424 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_425 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_426 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_427 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_428 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_429 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_43 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_430 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_431 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_432 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_433 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_434 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_435 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_436 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_437 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_438 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_439 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_44 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_440 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_441 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_442 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_443 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_444 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_445 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_446 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_447 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_448 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_449 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_45 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_450 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_451 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_452 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_453 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_454 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_455 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_456 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_457 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_458 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_459 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_46 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_460 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_461 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_462 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_463 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_464 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_465 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_466 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_467 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_468 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_469 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_47 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_470 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_471 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_472 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_473 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_474 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_475 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_476 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_477 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_478 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_479 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_48 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_480 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_481 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_482 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_483 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_484 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_485 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_486 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_487 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_488 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_489 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_49 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_490 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_491 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_492 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_493 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_494 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_495 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_496 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_497 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_498 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_499 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_5 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_50 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_500 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_501 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_502 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_503 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_504 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_505 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_506 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_507 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_508 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_509 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_51 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_510 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_511 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_512 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_52 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_53 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_54 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_55 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_56 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_57 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_58 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_59 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_6 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_60 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_61 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_62 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_63 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_64 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_65 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_66 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_67 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_68 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_69 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_7 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_70 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_71 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_72 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_73 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_74 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_75 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_76 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_77 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_78 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_79 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_8 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_80 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_81 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_82 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_83 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_84 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_85 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_86 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_87 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_88 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_89 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_9 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_90 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_91 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_92 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_93 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_94 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_95 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_96 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_97 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_98 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_RNS_99 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_0 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_1 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_10 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_100 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_101 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_102 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_103 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_104 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_105 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_106 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_107 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_108 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_109 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_11 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_110 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_111 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_112 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_113 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_114 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_115 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_116 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_117 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_118 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_119 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_12 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_120 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_121 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_122 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_123 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_124 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_125 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_126 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_127 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_128 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_13 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_14 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_15 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_16 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_17 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_18 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_19 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_2 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_20 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_21 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_22 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_23 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_24 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_25 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_26 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_27 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_28 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_29 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_3 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_30 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_31 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_32 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_33 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_34 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_35 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_36 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_37 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_38 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_39 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_4 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_40 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_41 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_42 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_43 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_44 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_45 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_46 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_47 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_48 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_49 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_5 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_50 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_51 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_52 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_53 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_54 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_55 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_56 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_57 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_58 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_59 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_6 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_60 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_61 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_62 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_63 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_64 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_65 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_66 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_67 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_68 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_69 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_7 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_70 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_71 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_72 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_73 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_74 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_75 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_76 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_77 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_78 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_79 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_8 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_80 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_81 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_82 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_83 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_84 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_85 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_86 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_87 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_88 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_89 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_9 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_90 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_91 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_92 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_93 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_94 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_95 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_96 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_97 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_98 |
macro |
|
|
SVT_CHI_VALID_IDX_NUM_SNS_99 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_0 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_1 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_10 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_11 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_12 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_13 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_14 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_15 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_2 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_3 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_4 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_5 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_6 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_7 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_8 |
macro |
|
|
SVT_CHI_VALID_RN_IDX_9 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_0 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_1 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_10 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_11 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_12 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_13 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_14 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_15 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_2 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_3 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_4 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_5 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_6 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_7 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_8 |
macro |
|
|
SVT_CHI_VALID_SN_IDX_9 |
macro |
|
|
SVT_CHI_VERSION_5_0 |
macro |
|
|
svt_chi_vif |
interface typedef |
defined in class svt_chi_system_configuration |
| svt_chi_vif |
interface typedef |
defined in class svt_chi_interconnect_configuration |
| svt_chi_vif |
interface typedef |
defined in class svt_chi_system_env |
| svt_chi_vif |
interface typedef |
defined in class svt_chi_interconnect_env |
|
SVT_CHI_VMID_EXT_WIDTH |
macro |
|
|
SVT_CHI_VMID_WIDTH |
macro |
|
|
SVT_CHI_WAIT_FOR_XACT_ENDED |
macro |
|
|
SVT_CHI_WR_TRANSACTION_INTERLEAVE_RANDOM_BLOCK |
macro |
|
|
SVT_CHI_WRITE_FOLLOWED_BY_READ_PATTERN_SEQ |
macro |
|
|
svt_chi_write_followed_by_read_pattern_sequence |
class |
|
|
SVT_CHI_WRITE_FOLLOWED_BY_WRITE_PATTERN_SEQ |
macro |
|
|
svt_chi_write_followed_by_write_pattern_sequence |
class |
|
|
SVT_CHI_WRITE_TYPE_SEMANTIC |
macro |
|
|
SVT_CHI_WRITE_WITH_TAGOP_UPDATE_FOLLOWED_BY_READ_WITH_TAGOP_FETCH_PATTERN_SEQ |
macro |
|
|
SVT_CHI_WRITE_WITH_TAGOP_UPDATE_FOLLOWED_BY_READ_WITH_TAGOP_TRANSFER_PATTERN_SEQ |
macro |
|
|
SVT_CHI_XACT_CBUSY_WIDTH |
macro |
|
|
SVT_CHI_XACT_CCID_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_BE_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_DATA_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_POISON_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_TAG_UPDATE_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_FLIT_MAX_TAG_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_XACT_DAT_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_XACT_DATA_ID_WIDTH |
macro |
|
|
SVT_CHI_XACT_FORWARD_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_XACT_IS_COHERENT_COMBINED_CBWRITE_CMO |
macro |
|
|
SVT_CHI_XACT_IS_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_XACT_IS_COMBINED_WRITE_PERSISTENT_CMO |
macro |
|
|
SVT_CHI_XACT_IS_FULL_CACHELINE_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_XACT_IS_NON_COHERENT_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_XACT_IS_PTL_CACHELINE_COMBINED_NCBWRITE_CMO |
macro |
|
|
SVT_CHI_XACT_MAX_BE_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_DATA_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_DATACHECK_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_MPAM_PARTID_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_MPAM_PERFMONGROUP_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_POISON_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_TAG_UPDATE_WIDTH |
macro |
|
|
SVT_CHI_XACT_MAX_TAG_WIDTH |
macro |
|
|
SVT_CHI_XACT_MPAM_NS_WIDTH |
macro |
|
|
SVT_CHI_XACT_MPAM_WIDTH |
macro |
|
|
SVT_CHI_XACT_PBHA_WIDTH |
macro |
|
|
SVT_CHI_XACT_QOS_WIDTH |
macro |
|
|
SVT_CHI_XACT_REQ_FLIT_MAX_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_XACT_REQ_RSVDC_WIDTH |
macro |
|
|
SVT_CHI_XACT_REQ_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_XACT_RETURN_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_XACT_RSP_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_XACT_SNP_VC_FLIT_OP_WIDTH |
macro |
|
|
SVT_CHI_XACT_STATUS_ENDED |
macro |
|
|
SVT_CHI_XACT_TXN_ID_WIDTH |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICCOMPARE |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_ADD |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_CLR |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_EOR |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_SET |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_SMAX |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_SMIN |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_UMAX |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICLOAD_UMIN |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_ADD |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_CLR |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_EOR |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_SET |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_SMAX |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_SMIN |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_UMAX |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSTORE_UMIN |
macro |
|
|
SVT_CHI_XACT_TYPE_ATOMICSWAP |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANSHAREDPERSIST |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_CLEANUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_DVMOP |
macro |
|
|
SVT_CHI_XACT_TYPE_ECBARRIER |
macro |
|
|
SVT_CHI_XACT_TYPE_EOBARRIER |
macro |
|
|
SVT_CHI_XACT_TYPE_EVICT |
macro |
|
|
SVT_CHI_XACT_TYPE_MAKEINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_MAKEREADUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_MAKEUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_PCRDRETURN |
macro |
|
|
SVT_CHI_XACT_TYPE_PREFETCHTGT |
macro |
|
|
SVT_CHI_XACT_TYPE_READCLEAN |
macro |
|
|
SVT_CHI_XACT_TYPE_READNOSNP |
macro |
|
|
SVT_CHI_XACT_TYPE_READNOSNPSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_READNOTSHAREDDIRTY |
macro |
|
|
SVT_CHI_XACT_TYPE_READONCE |
macro |
|
|
SVT_CHI_XACT_TYPE_READONCECLEANINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_READONCEMAKEINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_READPREFERUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_READSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_READSPEC |
macro |
|
|
SVT_CHI_XACT_TYPE_READUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_REQLINKFLIT |
macro |
|
|
SVT_CHI_XACT_TYPE_STASHONCESEPSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_STASHONCESEPUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_STASHONCESHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_STASHONCEUNIQUE |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKFULL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKFULL_CLEANINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKFULL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEBACKPTL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITECLEANFULL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITECLEANFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITECLEANFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITECLEANPTL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEEVICTFULL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEEVICTOREVICT |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPDEF |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPFULL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPFULL_CLEANINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPFULL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPPTL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPPTL_CLEANINVALID |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPPTL_CLEANINVALIDPOPA |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPPTL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPPTL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITENOSNPZERO |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEFULLSTASH |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTL |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTL_CLEANSHARED |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTL_CLEANSHAREDPERSISTSEP |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEPTLSTASH |
macro |
|
|
SVT_CHI_XACT_TYPE_WRITEUNIQUEZERO |
macro |
|
|
svt_chi_xxm_debug |
macro |
|
|
svt_chi_xxm_error |
macro |
|
|
svt_chi_xxm_fatal |
macro |
|
|
svt_chi_xxm_note |
macro |
|
|
svt_chi_xxm_verbose |
macro |
|
|
svt_chi_xxm_warning |
macro |
|
|
svt_comparer |
class |
|
|
svt_component |
class |
|
|
svt_config_int_db |
class |
|
|
svt_config_object_db |
class |
|
|
svt_config_string_db |
class |
|
|
svt_config_vif_db |
class |
|
|
svt_configuration |
class |
|
|
svt_controlled_event |
class |
|
|
svt_data_converter |
class |
|
|
SVT_DATA_PULL_WIDTH |
macro |
|
|
svt_debug_opts |
class |
|
|
svt_debug_opts_analysis_imp_port |
class |
|
|
svt_debug_opts_analysis_port |
class |
|
|
svt_debug_opts_blocking_get_imp_port |
class |
|
|
svt_debug_opts_blocking_get_peek_imp_port |
class |
|
|
svt_debug_opts_blocking_get_peek_port |
class |
|
|
svt_debug_opts_blocking_get_port |
class |
|
|
svt_debug_opts_blocking_peek_imp_port |
class |
|
|
svt_debug_opts_blocking_peek_port |
class |
|
|
svt_debug_opts_blocking_put_imp_port |
class |
|
|
svt_debug_opts_blocking_put_port |
class |
|
|
svt_debug_opts_carrier |
class typedef |
defined in global |
|
svt_debug_opts_get_imp_port |
class |
|
|
svt_debug_opts_get_peek_imp_port |
class |
|
|
svt_debug_opts_get_peek_port |
class |
|
|
svt_debug_opts_get_port |
class |
|
|
svt_debug_opts_nonblocking_get_imp_port |
class |
|
|
svt_debug_opts_nonblocking_get_peek_imp_port |
class |
|
|
svt_debug_opts_nonblocking_get_peek_port |
class |
|
|
svt_debug_opts_nonblocking_get_port |
class |
|
|
svt_debug_opts_nonblocking_peek_imp_port |
class |
|
|
svt_debug_opts_nonblocking_peek_port |
class |
|
|
svt_debug_opts_nonblocking_put_imp_port |
class |
|
|
svt_debug_opts_nonblocking_put_port |
class |
|
|
svt_debug_opts_peek_imp_port |
class |
|
|
svt_debug_opts_peek_port |
class |
|
|
svt_debug_opts_put_imp_port |
class |
|
|
svt_debug_opts_put_port |
class |
|
|
svt_debug_vip_descriptor |
class |
|
|
svt_dispatch |
class |
|
|
svt_dispatch_sequence |
class |
|
|
svt_downstream_imp |
class |
|
|
svt_driver |
class |
|
|
svt_dropping_response_report_catcher |
class |
|
|
SVT_DTI_MAX_NUM_SYSTEMS |
macro |
|
|
svt_end_realtime |
attribute |
attribute type realtime, defined in class svt_sequence_item |
|
svt_env |
class |
|
|
svt_err_catcher |
class |
|
|
svt_err_check |
class |
|
|
svt_err_check_report_catcher |
class |
|
| svt_err_check_report_catcher |
class typedef |
defined in global |
|
svt_err_check_stats |
class |
|
|
svt_event_pool |
class |
|
|
svt_exception |
class |
|
|
svt_exception_do_allocate_pattern |
class |
|
|
svt_exception_list |
class |
|
|
svt_exception_list_do_allocate_pattern |
class |
|
|
SVT_EXCLUDE_VCAP |
macro |
|
|
svt_fifo_rate_control |
class |
|
|
svt_fifo_rate_control_configuration |
class |
|
|
svt_fsm |
class |
|
| svt_fsm |
class typedef |
defined in global |
|
svt_fsm_callback |
class |
|
|
svt_fsm_callbacks |
class typedef |
defined in global |
|
svt_fsm_exception |
class |
|
|
svt_fsm_state |
class |
|
|
svt_fsm_state_base |
class |
|
| svt_fsm_state_base |
class typedef |
defined in global |
|
svt_fsm_state_callback |
class |
|
|
svt_fsm_state_callbacks |
class typedef |
defined in global |
|
svt_fuzzy_real_compare |
function |
function, defined in global,
returns type function |
|
svt_fuzzy_real_comparer |
class |
|
| svt_fuzzy_real_comparer |
class typedef |
defined in global |
|
svt_get_starting_phase |
function |
function, defined in class svt_sequence,
returns type uvm_phase |
|
svt_get_tr_handle |
function |
function, defined in class svt_sequence_item,
returns type int |
|
svt_logger |
class |
|
|
svt_mem |
class |
|
|
svt_mem_word |
class |
|
|
svt_monitor |
class |
|
|
svt_multi_sim_utils |
class |
|
|
svt_named_factory |
class |
|
|
svt_named_factory_override |
class |
|
|
svt_named_factory_override_base |
class |
|
|
svt_non_abstract_component |
class |
|
|
svt_non_abstract_report_object |
class |
|
| svt_non_abstract_report_object |
class typedef |
defined in global |
|
svt_pa_object_data |
class |
|
|
svt_packer |
class |
|
|
svt_pattern |
class typedef |
defined in global |
|
svt_phase |
class typedef |
defined in global |
|
SVT_PREPEND |
enum value |
member of global items svt_apprepend |
|
svt_randomize_assistant |
class |
|
|
svt_reactive_driver |
class |
|
|
svt_reactive_sequence |
class |
|
| svt_reactive_sequence |
class typedef |
defined in global |
|
svt_reactive_sequencer |
class |
|
|
SVT_REORDERING_WINDOW_MOVING |
macro |
|
|
SVT_REORDERING_WINDOW_STATIC |
macro |
|
|
svt_report_catcher |
class typedef |
defined in global |
|
svt_seq_started |
attribute |
attribute type uvm_event, defined in global |
|
svt_sequence |
class |
|
| svt_sequence |
class typedef |
defined in global |
|
svt_sequence_item |
class |
|
|
svt_sequence_item_base |
class |
|
| svt_sequence_item_base |
class typedef |
defined in global |
|
svt_sequence_item_base_iter |
class |
|
| svt_sequence_item_base_iter |
class typedef |
defined in global |
|
svt_sequence_item_base_queue |
class |
|
|
svt_sequence_item_base_queue_iter |
class |
|
|
svt_sequence_item_iter |
class |
|
|
svt_sequence_item_report |
class |
|
|
svt_sequence_library |
class |
|
|
svt_sequencer |
class |
|
|
svt_start_traffic |
task |
defined in class svt_traffic_arbiter |
|
svt_status |
class |
|
|
SVT_SV_BASED_SVT_MEM |
macro |
|
|
svt_timer |
class |
|
|
svt_traffic_arbiter |
class |
|
|
svt_traffic_profile_event |
class |
|
|
svt_traffic_profile_transaction |
class |
|
|
svt_triggered_timer |
class |
|
|
svt_type_factory |
class |
|
|
svt_type_factory_override |
class |
|
|
svt_type_factory_override_base |
class |
|
|
svt_types |
class |
|
|
svt_uvm_callback |
class typedef |
defined in global |
|
svt_uvm_cmd_assistant |
class |
|
| svt_uvm_cmd_assistant |
class typedef |
defined in global |
|
svt_uvm_cmd_assistant_report_catcher |
class |
|
| svt_uvm_cmd_assistant_report_catcher |
class typedef |
defined in global |
|
svt_uvm_monitor |
class |
|
|
svt_uvm_pkg |
class |
|
| svt_uvm_pkg |
class |
|
|
svt_vcap__analyze_test |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_group |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_group_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_group_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_sequencer |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_inst_path |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_sequencer_resource_profile |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_resource_profile_attr |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_resource_profile_attr_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_resource_profile_attr_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_sequencer_resource_profile_attr_value |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_sequencer_resource_profile_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_sequencer_resource_profile_path |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_sequencer_sequencer_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_synchronization_spec_input_event |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_synchronization_spec_input_event_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_synchronization_spec_input_event_event_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_input_event_sequencer_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_input_event_traffic_profile_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_synchronization_spec_output_event_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_synchronization_spec_output_event_event_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event_frame_size |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event_frame_time |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event_output_event_type |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event_sequencer_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_synchronization_spec_output_event_traffic_profile_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_profile_attr |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_profile_attr_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_profile_attr_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile_attr_value |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile_component |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_profile_path |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile_profile_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_profile_protocol |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_resource_profile |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_resource_profile_attr |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_resource_profile_attr_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_resource_profile_attr_name |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_resource_profile_attr_value |
function |
function, defined in global,
returns type string |
|
svt_vcap__get_traffic_resource_profile_count |
function |
function, defined in global,
returns type int |
|
svt_vcap__get_traffic_resource_profile_path |
function |
function, defined in global,
returns type string |
|
svt_vip_writer |
class |
|
| svt_vip_writer |
class typedef |
defined in global |
|
svt_wait_for_nba_region |
task |
defined in global |
|
svt_xml_writer |
class typedef |
defined in global |
|
sync |
function |
function, defined in class uvm_phase,
returns type void |
| SYNC |
enum value |
member of svt_amba_pv :: dvm_message_t |
|
SYNC_BARRIER |
enum value |
member of svt_amba_pv :: bar_t |
|
SYNC_NON_SYNC_WITH_DIFF_LPID |
enum value |
member of svt_chi_rn_transaction_base_sequence :: dvm_lpid_pattern_enum |
|
sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_address_configuration |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_node_configuration |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_interconnect_configuration |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_transaction |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_status |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_base_virtual_sequence |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_monitor_transaction_xml_callback |
| sys_cfg |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_system_monitor_transaction_xml_callback :: new |
| sys_cfg |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_system_monitor_def_cov_callback :: new |
| sys_cfg |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: new |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_monitor_def_cov_data_callback |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_cfg |
attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container |
|
sys_cfg_passed |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container :: new |
| sys_cfg_passed |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container :: new |
| sys_cfg_passed |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container :: new |
| sys_cfg_passed |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container :: new |
| sys_cfg_passed |
function arg |
arg type svt_chi_system_configuration, defined in function svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container :: new |
|
sys_cov_item |
attribute |
attribute type protected svt_chi_system_transaction, defined in class svt_chi_system_monitor_def_cov_data_callback |
|
sys_mon_post_cb_action |
function arg |
arg type ref svt_chi_system_transaction :: action_enum, defined in function svt_chi_system_monitor_callback :: master_xact_fully_associated_to_slave_xacts |
| sys_mon_post_cb_action |
function arg |
arg type ref svt_chi_system_transaction :: action_enum, defined in function svt_chi_system_monitor_callback :: post_system_xact_association_with_snoop |
|
sys_mon_pre_cb_action |
function arg |
arg type ref svt_chi_system_transaction :: action_enum, defined in function svt_chi_system_monitor_callback :: pre_master_slave_association |
| sys_mon_pre_cb_action |
function arg |
arg type ref svt_chi_system_transaction :: action_enum, defined in function svt_chi_system_monitor_callback :: pre_chi_coherent_and_snoop_transaction_association |
|
sys_trace_file_group_name |
function arg |
arg type string, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: new |
| sys_trace_file_group_name |
function arg |
arg type string, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: new |
|
sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_exclusive_monitor :: process_exclusive_store_response |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_exclusive_monitor :: is_exclusive_store_failed |
| sys_xact |
task arg |
arg type svt_chi_system_transaction, defined in task svt_chi_exclusive_monitor :: push_exclusive_load_transactions |
| sys_xact |
task arg |
arg type svt_chi_system_transaction, defined in task svt_chi_exclusive_monitor :: process_exclusive_load_response |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: new_rn_sys_transaction_received |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: rn_sys_transaction_processed_by_interconnect |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: sample_xact_properties_after_xact_ended |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: post_system_xact_association_with_snoop_xact_cov |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: new_system_transaction_started |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: master_xact_fully_associated_to_slave_xacts |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: pre_chi_coherent_and_snoop_transaction_association |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: post_system_xact_association_with_snoop |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_callback :: pre_check_snoop_transaction_issue |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| sys_xact |
attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_def_cov_callback |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: new_rn_sys_transaction_received |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: cover_concurrent_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: cover_concurrent_non_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: rn_sys_transaction_processed_by_interconnect |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: sample_xact_properties_after_xact_ended |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: cover_concurrent_overlapping_coherent_exclusive_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: cover_concurrent_non_overlapping_coherent_exclusive_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_callback :: post_system_xact_association_with_snoop_xact_cov |
| sys_xact |
attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: new_rn_sys_transaction_received |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: cover_concurrent_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: cover_concurrent_non_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: rn_sys_transaction_processed_by_interconnect |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: sample_xact_properties_after_xact_ended |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: post_system_xact_association_with_snoop_xact_cov |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: new_rn_sys_transaction_received |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: rn_sys_transaction_processed_by_interconnect |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: sample_xact_properties_after_xact_ended |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: cover_concurrent_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: cover_concurrent_non_overlapping_coherent_xact_on_different_port |
| sys_xact |
function arg |
arg type svt_chi_system_transaction, defined in function svt_chi_system_monitor_def_cov_data_callback :: post_system_xact_association_with_snoop_xact_cov |
|
sys_xact_assoc_snoop_update_done |
attribute |
attribute type event, defined in class svt_chi_exclusive_monitor |
|
sys_xact_queue |
attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data |
| sys_xact_queue |
attribute |
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data |
|
sys_xact_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_system_monitor_def_cov_data_callback |
|
sys_xact_report |
attribute |
attribute type svt_sequence_item_report, defined in class svt_chi_node_protocol_monitor_transaction_report_callback |
| sys_xact_report |
function arg |
arg type svt_sequence_item_report, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: new |
| sys_xact_report |
attribute |
attribute type svt_sequence_item_report, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback |
| sys_xact_report |
function arg |
arg type svt_sequence_item_report, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: new |
| sys_xact_report |
attribute |
attribute type svt_sequence_item_report, defined in class svt_chi_rn_link_monitor_transaction_report_callback |
| sys_xact_report |
function arg |
arg type svt_sequence_item_report, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: new |
| sys_xact_report |
attribute |
attribute type svt_sequence_item_report, defined in class svt_chi_sn_link_monitor_transaction_report_callback |
| sys_xact_report |
function arg |
arg type svt_sequence_item_report, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: new |
|
sys_xact_rn_f_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_rn_f_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_rn_f_port2_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port2_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_rn_f_port2_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_f_port2_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_rn_i_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_i_port1_read_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_rn_i_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_rn_i_port1_write_resp_err |
attribute |
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_snp_donotgotosd |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_data_callback |
| sys_xact_snp_donotgotosd |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_donotgotosd |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_donotgotosd |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_donotgotosd |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_snp_rettosrc |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_data_callback |
| sys_xact_snp_rettosrc |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rettosrc |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rettosrc |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rettosrc |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_snp_rsp_datatransfer |
attribute |
attribute type bit, defined in class svt_chi_system_monitor_def_cov_data_callback |
| sys_xact_snp_rsp_datatransfer |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rsp_datatransfer |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rsp_datatransfer |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_rsp_datatransfer |
attribute |
attribute type bit, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
sys_xact_snp_xact_type |
attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_monitor_def_cov_data_callback |
| sys_xact_snp_xact_type |
attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_xact_type |
attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_xact_type |
attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
| sys_xact_snp_xact_type |
attribute |
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container |
|
SYSCO_CACHE_CLEANUP |
enum value |
member of svt_chi_transaction :: auto_generation_category_enum |
|
sysco_interface_coherency_disabled_state_traffic_validity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sysco_interface_coherency_disconnect_state_traffic_validity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sysco_interface_coherency_enabled_state_traffic_validity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sysco_interface_enable |
attribute |
attribute type rand bit, defined in class svt_chi_node_configuration |
|
sysco_interface_illegal_state_transition |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check |
|
sysco_interface_snoop_traffic_validity_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check |
|
sysco_interface_state |
attribute |
attribute type svt_chi_status :: sysco_interface_state_enum, defined in class svt_chi_status |
| sysco_interface_state |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_link_sysco_interface_fsm :: sysco_interface_state_to_fsm_state |
| sysco_interface_state |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_rn_link_monitor_callback :: sysco_interface_state_cb |
| sysco_interface_state |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: sysco_interface_state_cb |
| sysco_interface_state |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_data_callback :: cov_sample_sysco_interface_state |
| sysco_interface_state |
function arg |
arg type svt_chi_status :: sysco_interface_state_enum, defined in function svt_chi_rn_link_monitor_def_cov_callback :: cov_sample_sysco_interface_state |
|
sysco_interface_state_cb |
function |
function, defined in class svt_chi_rn_link_monitor_callback,
returns type void |
| sysco_interface_state_cb |
function |
function, defined in class svt_chi_rn_link_monitor_def_cov_data_callback,
returns type void |
|
sysco_interface_state_enum |
enum typedef |
defined in class svt_chi_status |
|
sysco_interface_state_to_fsm_state |
function |
function, defined in class svt_chi_link_sysco_interface_fsm,
returns type svt_fsm_state_base |
|
SYSCO_STATE_NOT_VALID |
enum value |
member of svt_chi_snoop_transaction :: data_pull_value_override_reason_enum |
|
SYSCOACK |
interface attribute |
defined in interface svt_chi_rn_if, |
| SYSCOACK |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
syscoack_assertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoack_assertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoack_deassertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoack_deassertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
SYSCOREQ |
interface attribute |
defined in interface svt_chi_rn_if, |
| SYSCOREQ |
interface attribute |
defined in interface svt_chi_ic_rn_if, |
|
syscoreq_assertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoreq_assertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoreq_deasserted_during_xact |
attribute |
attribute type bit, defined in class svt_chi_system_transaction |
|
syscoreq_deassertion_max_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
syscoreq_deassertion_min_delay |
attribute |
attribute type int, defined in class svt_chi_node_configuration |
|
system_checker |
attribute |
attribute type svt_chi_system_err_check, defined in class svt_chi_exclusive_monitor |
| system_checker |
attribute |
attribute type svt_chi_system_err_check, defined in class svt_chi_system_env |
|
system_checks_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
system_checks_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
system_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
system_domain_items |
attribute |
attribute type svt_chi_system_domain_item, defined in class svt_chi_address_configuration |
|
system_domain_type_enum |
enum typedef |
defined in class svt_chi_system_domain_item |
|
system_hn_status |
attribute |
attribute type svt_chi_system_hn_status, defined in class svt_chi_system_status |
|
system_id |
attribute |
attribute type int, defined in class svt_chi_system_configuration |
|
system_monitor |
attribute |
attribute type svt_chi_system_monitor, defined in class svt_chi_system_env |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_rn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_rn_sys_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: rn_sys_transaction_processed_by_interconnect |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: sample_xact_properties_after_xact_ended |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_system_xact_association_with_snoop_xact_cov |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_chi_snoop_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_sn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_axi_master_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_axi_master_snoop_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_axi_slave_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: new_system_transaction_started |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_master_slave_association |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: master_xact_fully_associated_to_slave_xacts |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_chi_coherent_and_snoop_transaction_association |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_system_xact_association_with_snoop |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_slave_xact_to_rn_xact_correlation |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_chi_coherent_and_snoop_transaction_association |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_process_rn_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_process_sn_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_process_snoop_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_process_axi_mstr_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_process_axi_slave_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_check_execute |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_hazard_check_execute |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_check_snoop_transaction_issue |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_check_execute_orphaned_snp |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_snoop_filter_update_for_coherent |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: pre_snoop_filter_update_for_snoop |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: end_of_simulation |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: post_process_associated_snoop_transactions_to_chi_coherent_xact |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_callback :: exclusive_sequence_transaction_activity_execute |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_rn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_chi_snoop_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_sn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_coherent_and_snoop_transaction_association |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_axi_master_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_axi_master_snoop_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: new_axi_slave_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_slave_xact_to_rn_xact_correlation |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: new_rn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: new_rn_sys_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: rn_sys_transaction_processed_by_interconnect |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: sample_xact_properties_after_xact_ended |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_callback :: post_system_xact_association_with_snoop_xact_cov |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: new_rn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: new_rn_sys_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: rn_sys_transaction_processed_by_interconnect |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: sample_xact_properties_after_xact_ended |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: post_chi_stashonce_and_snoop_transaction_association_stashonce_completion_snoop_observed |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_issue_e_def_cov_callback :: post_system_xact_association_with_snoop_xact_cov |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_data_callback :: new_rn_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_data_callback :: new_rn_sys_transaction_received |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_data_callback :: rn_sys_transaction_processed_by_interconnect |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_data_callback :: sample_xact_properties_after_xact_ended |
| system_monitor |
function arg |
arg type svt_chi_system_monitor, defined in function svt_chi_system_monitor_def_cov_data_callback :: post_system_xact_association_with_snoop_xact_cov |
|
system_monitor_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
system_path_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
system_transaction_scenario_coverage_enable |
attribute |
attribute type bit, defined in class svt_chi_system_configuration |
|
SYSTEMSHAREABLE |
enum value |
member of svt_amba_pv :: domain_t |
|
sz |
function arg |
arg type int, defined in function uvm_packer :: index_error |