VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA CHI-G SVT UVM Documentation - index - r

r
function arg
arg type uvm_reg, defined in function uvm_reg_mem_hdl_paths_seq :: check_reg
radix
function arg
arg type uvm_radix_enum, defined in function uvm_printer :: print_int
radix
function arg
arg type uvm_radix_enum, defined in function uvm_printer :: print_field
radix
function arg
arg type uvm_radix_enum, defined in function uvm_comparer :: compare_field
radix
function arg
arg type uvm_radix_enum, defined in function uvm_comparer :: compare_field_int
radix
function arg
arg type uvm_radix_enum, defined in function uvm_recorder :: record_field
radix
function arg
arg type uvm_radix_enum, defined in function uvm_recorder :: set_attribute
radix
function arg
arg type uvm_radix_enum, defined in function uvm_printer_knobs :: get_radix_str
radix
function arg
arg type uvm_radix_enum, defined in function glboal :: uvm_radix_to_string
radix
function arg
arg type uvm_radix_enum, defined in function glboal :: uvm_vector_to_string
radix_str
function arg
arg type string, defined in function glboal :: uvm_vector_to_string
raise
function arg
arg type bit, defined in function uvm_objection :: m_propagate
raise_objection
function
function, defined in class uvm_objection,  returns type void
raise_objection
function
function, defined in class uvm_phase,  returns type void
raise_objection
function
function, defined in class uvm_test_done_objection,  returns type void
raise_phase_objection
function
function, defined in class svt_sequence,  returns type void
raised
function
function, defined in class uvm_component,  returns type void
raised
function
function, defined in class uvm_objection,  returns type void
raised
function
function, defined in class uvm_objection_callback,  returns type void
raised
function
function, defined in class uvm_callbacks_objection,  returns type void
raised
attribute
attribute type event, defined in class uvm_objection_events
raised
function
function, defined in class uvm_heartbeat_callback,  returns type void
RAND_MODE
enum value
member of svt_chi_ic_snoop_transaction_directed_sequence :: snp_addr_mode_enum
RAND_PATTERN
enum value
member of svt_chi_ic_snoop_transaction_directed_sequence :: snp_txn_id_pattern_enum
rand_subsequent_store
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence
rand_success
task arg
arg type output bit, defined in task svt_chi_link_service_base_sequence :: randomize_service_request
rand_success
task arg
arg type ref bit, defined in task svt_chi_link_service_base_sequence :: post_randomize_service_request
rand_success
task arg
arg type output bit, defined in task svt_chi_link_service_deactivate_sequence :: randomize_service_request
rand_success
task arg
arg type output bit, defined in task svt_chi_link_service_activate_sequence :: randomize_service_request
RANDOM
enum value
member of svt_mem :: meminit_enum
RANDOM
enum value
member of svt_traffic_profile_transaction :: attr_val_type_enum
RANDOM
enum value
member of svt_chi_node_configuration :: chi_reordering_algorithm_enum
RANDOM_ADDR
enum value
member of svt_traffic_profile_transaction :: addr_val_type_enum
RANDOM_ADDRESS
enum value
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum
RANDOM_ADDRESS_IN_RANGE
enum value
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum
RANDOM_BLOCK
enum value
member of svt_chi_transaction :: interleave_pattern_enum
RANDOM_COMPDBIDRESP_COMP
enum value
member of svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum
RANDOM_DATA_CHANNEL
enum value
member of svt_chi_common_transaction :: data_channel_transmission_policy_enum
RANDOM_EXCLUSIVE_SEQUENCE
enum value
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_mode_enum
RANDOM_FWD_OR_NOT_FWD_DATA_FROM_SC_STATE_WHEN_RETTOSRC_SET
enum value
member of svt_chi_node_configuration :: fwd_data_from_sc_state_when_rettosrc_set_policy_enum
random_interleave_array
attribute
attribute type rand int, defined in class svt_chi_transaction
random_interleave_array_related
constraint
defined in class svt_chi_rn_transaction
RANDOM_LPID
enum value
member of svt_chi_rn_transaction_base_sequence :: dvm_lpid_pattern_enum
RANDOM_NONOVERLAPPED_ADDRESS
enum value
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum
RANDOM_OVERLAPPED_ADDRESS
enum value
member of svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum
RANDOM_REQ_CHANNEL
enum value
member of svt_chi_common_transaction :: request_channel_transmission_policy_enum
RANDOM_RESP_CHANNEL
enum value
member of svt_chi_common_transaction :: response_channel_transmission_policy_enum
RANDOM_SNP_CHANNEL
enum value
member of svt_chi_common_transaction :: snoop_channel_transmission_policy_enum
random_snp_src_id_enable
attribute
attribute type rand bit, defined in class svt_chi_interconnect_configuration
random_src_id_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
random_tgt_id_enable
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
randomize_service_request
task
defined in class svt_chi_link_service_base_sequence
randomize_service_request
task
defined in class svt_chi_link_service_deactivate_sequence
randomize_service_request
task
defined in class svt_chi_link_service_activate_sequence
randomize_with_directed_addr
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: get_directed_addr
randomize_with_directed_addr
task arg
arg type bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
randomize_with_directed_addr
task arg
arg type bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
randomize_with_directed_addr
task arg
arg type bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
randomize_with_directed_data
attribute
attribute type bit, defined in class svt_chi_rn_go_noncoherent_sequence
randomize_xact
task
defined in class svt_chi_rn_coherent_transaction_base_sequence
randomize_xact
task
defined in class svt_chi_rn_go_noncoherent_sequence
randomize_xact
task
defined in class chi_rn_noncoherent_transaction_base_sequence
randomized_exception
attribute
attribute type T, defined in class svt_exception_list
randomized_exception
function arg
arg type T, defined in function svt_exception_list :: new
randomized_exception
function arg
arg type svt_chi_common_transaction_exception, defined in function svt_chi_common_transaction_exception_list :: new
randomized_exception
function arg
arg type svt_chi_base_transaction_exception, defined in function svt_chi_base_transaction_exception_list :: new
randomized_exception
function arg
arg type svt_chi_snoop_transaction_exception, defined in function svt_chi_snoop_transaction_exception_list :: new
randomized_exception
function arg
arg type svt_chi_transaction_exception, defined in function svt_chi_transaction_exception_list :: new
randomized_exception
function arg
arg type svt_chi_flit_exception, defined in function svt_chi_flit_exception_list :: new
randomized_exception
function arg
arg type svt_chi_rn_transaction_exception, defined in function svt_chi_rn_transaction_exception_list :: new
randomized_exception
function arg
arg type svt_chi_sn_transaction_exception, defined in function svt_chi_sn_transaction_exception_list :: new
range_matched
function arg
arg type output int, defined in function svt_chi_system_configuration :: get_slave_route_port
range_matched
function arg
arg type output int, defined in function svt_chi_system_configuration :: get_slave_route_port_for_xact
rate
attribute
attribute type rand int, defined in class svt_fifo_rate_control_configuration
rate_cfg
function arg
arg type svt_fifo_rate_control_configuration, defined in function svt_traffic_arbiter :: get_sequencer_resource_profile_attr
rate_control_configs
function arg
arg type output svt_fifo_rate_control_configuration, defined in function svt_traffic_arbiter :: get_write_fifo_rate_control_configs
rate_control_configs
function arg
arg type output svt_fifo_rate_control_configuration, defined in function svt_traffic_arbiter :: get_read_fifo_rate_control_configs
rate_divisor
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
rcvd_dat_flit_to_lcrd_max_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXDAT_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_dat_flit_to_lcrd_min_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXDAT_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_req_flit_to_lcrd_max_delay
attribute
attribute type rand bit [SVT_CHI_MAX_REQ_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_req_flit_to_lcrd_min_delay
attribute
attribute type rand bit [SVT_CHI_MAX_REQ_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_rsp_flit_to_lcrd_max_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXRSP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_rsp_flit_to_lcrd_min_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXRSP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_snp_flit_to_lcrd_max_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXSNP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
rcvd_snp_flit_to_lcrd_min_delay
attribute
attribute type rand bit [SVT_CHI_MAX_RXSNP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
RD
function arg
arg type ref bit, defined in function svt_8b10b_data :: encode_data
RD
function arg
arg type ref bit, defined in function svt_8b10b_data :: encode_kcode
RD
function arg
arg type ref bit, defined in function svt_8b10b_data :: decode_data
RD
enum value
member of svt_chi_rn_exclusive_access_sequence :: seq_xact_type_enum
RD_WR
enum value
member of svt_chi_rn_exclusive_access_sequence :: seq_xact_type_enum
rdat
task arg
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field :: post_read
rdat
task arg
arg type ref uvm_reg_data_t, defined in task uvm_vreg :: post_read
rdat
task arg
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field_cbs :: post_read
rdat
task arg
arg type ref uvm_reg_data_t, defined in task uvm_vreg_cbs :: post_read
re
function arg
arg type string, defined in function uvm_resource_pool :: lookup_regex
re
function arg
arg type string, defined in function glboal :: uvm_re_match
read
function
function, defined in class uvm_resource,  returns type T
read
task
defined in class uvm_reg_field
read
task
defined in class uvm_vreg_field
read
task
defined in class uvm_reg
read
task
defined in class uvm_vreg
read
task
defined in class uvm_mem
read
function arg
arg type bit, defined in function uvm_reg_map :: get_reg_by_offset
read
task
defined in class uvm_reg_indirect_data
read
task
defined in class uvm_reg_backdoor
read
task
defined in class uvm_mem_region
read
function
function, defined in class svt_mem_word,  returns type bit [(SVT_MEM_MAX_DATA_WIDTH-1):0]
read
function
function, defined in class svt_mem,  returns type logic [SVT_MEM_MAX_DATA_WIDTH-1:0]
read
function
function, defined in class svt_axi_cache_line,  returns type bit
READ
enum value
member of svt_chi_transaction :: xact_category_enum
READ_ACCESS
enum value
member of svt_amba_addr_mapper :: direction_type_enum
read_by_addr
function
function, defined in class svt_axi_cache,  returns type bit
read_by_index
function
function, defined in class svt_axi_cache,  returns type bit
read_by_name
function
function, defined in class uvm_resource_db,  returns type bit
read_by_type
function
function, defined in class uvm_resource_db,  returns type bit
read_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
read_data_interleave_depth
attribute
attribute type rand int, defined in class svt_chi_node_configuration
read_data_interleave_size
attribute
attribute type rand int, defined in class svt_chi_node_configuration
read_data_tagop_not_invalid_for_transfer_fetch_req_tagop
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
read_fifo_cfg
attribute
attribute type svt_fifo_rate_control_configuration, defined in class svt_traffic_profile_transaction
read_fifo_rate_control
attribute
attribute type svt_fifo_rate_control, defined in class svt_traffic_profile_transaction
read_fifo_rate_control_configs
attribute
attribute type protected svt_fifo_rate_control_configuration, defined in class svt_traffic_arbiter
read_from_icn_mem
function
function, defined in class svt_chi_interconnect_env,  returns type logic [SVT_CHI_MAX_DATA_WIDTH-1:0]
read_func
function
function, defined in class uvm_reg_backdoor,  returns type void
read_line_by_addr
function
function, defined in class svt_axi_cache,  returns type bit
read_line_by_index
function
function, defined in class svt_axi_cache,  returns type bit
read_mem
task
defined in class uvm_reg_sequence
read_mem_by_name
task
defined in class uvm_reg_block
read_only
attribute
attribute type protected bit, defined in class uvm_resource_base
read_poison
function
function, defined in class svt_chi_memory,  returns type logic [(SVT_CHI_MAX_POISON_WIDTH-1):0]
read_rate_in_bytes_per_cycle
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
read_reg
task
defined in class uvm_reg_sequence
read_reg_by_name
task
defined in class uvm_reg_block
read_tag
function
function, defined in class svt_chi_memory,  returns type logic [(SVT_CHI_MAX_TAG_WIDTH-1):0]
read_tag_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
READ_THROUGHPUT
enum value
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum
READ_TYPE_FIFO
enum value
member of svt_fifo_rate_control_configuration :: fifo_type_enum
READ_WRITE_ACCESS
enum value
member of svt_amba_addr_mapper :: direction_type_enum
READCLEAN
enum value
member of svt_chi_common_transaction :: xact_type_enum
readclean_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readclean_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readclean_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readclean_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
readmemh
task
defined in class uvm_reg_block
READNOSNP
enum value
member of svt_chi_common_transaction :: xact_type_enum
readnosnp_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readnosnp_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readnosnp_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readnosnp_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READNOSNPSEP
enum value
member of svt_chi_common_transaction :: xact_type_enum
READNOTSHAREDDIRTY
enum value
member of svt_chi_common_transaction :: xact_type_enum
readnotshareddirty_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readnotshareddirty_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readnotshareddirty_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readnotshareddirty_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READONCE
enum value
member of svt_chi_common_transaction :: xact_type_enum
readonce_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readonce_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readonce_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readonce_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READONCECLEANINVALID
enum value
member of svt_chi_common_transaction :: xact_type_enum
readoncecleaninvalid_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readoncecleaninvalid_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readoncecleaninvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readoncecleaninvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READONCEMAKEINVALID
enum value
member of svt_chi_common_transaction :: xact_type_enum
readoncemakeinvalid_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readoncemakeinvalid_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readoncemakeinvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readoncemakeinvalid_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READPREFERUNIQUE
enum value
member of svt_chi_common_transaction :: xact_type_enum
readpreferunique_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readpreferunique_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readpreferunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readpreferunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READRECEIPT
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
READRECEIPT_AFTER_DATA
enum value
member of svt_chi_sn_transaction :: readreceipt_policy_enum
READRECEIPT_BEFORE_DATA
enum value
member of svt_chi_sn_transaction :: readreceipt_policy_enum
readreceipt_end_time
function arg
arg type output real, defined in function svt_chi_transaction :: get_readreceipt_realtime
readreceipt_policy
attribute
attribute type rand svt_chi_sn_transaction :: readreceipt_policy_enum, defined in class svt_chi_sn_transaction
readreceipt_policy_enum
enum typedef
defined in class svt_chi_sn_transaction
READRECEIPT_WITH_DATA
enum value
member of svt_chi_sn_transaction :: readreceipt_policy_enum
READSHARED
enum value
member of svt_chi_common_transaction :: xact_type_enum
readshared_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readshared_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readshared_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readshared_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READSPEC
enum value
member of svt_chi_common_transaction :: xact_type_enum
readspec_enable
attribute
attribute type bit, defined in class svt_chi_system_configuration
readspec_enable
attribute
attribute type bit, defined in class svt_chi_interconnect_configuration
readspec_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readspec_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
READUNIQUE
enum value
member of svt_chi_common_transaction :: xact_type_enum
readunique_associated_compdata_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readunique_associated_compdata_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
readunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
readunique_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
reason
function arg
arg type string, defined in function svt_timer :: start_timer
reason
function arg
arg type string, defined in function svt_timer :: start_infinite_timer
reason
function arg
arg type string, defined in function svt_timer :: start_finite_timer
reason
function arg
arg type string, defined in function svt_timer :: restart_timer
reason
function arg
arg type string, defined in function svt_timer :: stop_timer
reason
function arg
arg type string, defined in function svt_triggered_timer :: start_timer
reasonable_barrier_xact_type
constraint
defined in class chi_rn_barrier_directed_sequence
reasonable_cleanshared_cleansharedpersist_user_comp_final_state
constraint
defined in class svt_chi_ic_sn_transaction
reasonable_coherent_load_xact_type
constraint
defined in class svt_chi_rn_copyback_type_transaction_directed_sequence
reasonable_coherent_load_xact_type
constraint
defined in class chi_rn_directed_noncoherent_xact_sequence
reasonable_comp_to_dbid_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_comp_to_dbidrespord_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_comp_to_stashdone_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_constraint_mode
function
function, defined in class svt_sequence_item_base,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_exception_list,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_8b10b_data,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_fifo_rate_control_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_fifo_rate_control,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_traffic_profile_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_system_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_address_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_node_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_interconnect_configuration,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_rn_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_snoop_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_protocol_service,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_link_service,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_rn_snoop_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_sn_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_common_transaction_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_base_transaction_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_ic_snoop_transaction,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_transaction_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_flit_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_rn_transaction_exception,  returns type int
reasonable_constraint_mode
function
function, defined in class svt_chi_sn_transaction_exception,  returns type int
reasonable_data_10bit
constraint
defined in class svt_8b10b_data
reasonable_data_8bit
constraint
defined in class svt_8b10b_data
reasonable_data_val
constraint
defined in class svt_traffic_profile_transaction
reasonable_dbid_to_comp_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_dbidrespord_to_comp_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_default_sequence_length
constraint
defined in class svt_chi_link_service_base_sequence
reasonable_full_level
constraint
defined in class svt_fifo_rate_control_configuration
reasonable_interleave_pattern
constraint
defined in class svt_chi_ic_sn_transaction
reasonable_is_retry
constraint
defined in class svt_chi_sn_transaction
reasonable_mecid_ranges
constraint
defined in class svt_chi_rn_transaction
reasonable_mecid_snp_xact_ranges
constraint
defined in class svt_chi_ic_snoop_transaction
reasonable_mem_type
constraint
defined in class chi_rn_directed_noncoherent_xact_sequence
reasonable_min_cycles_in_deactive
constraint
defined in class svt_chi_link_service
reasonable_min_cycles_in_deactive
constraint
defined in class svt_chi_link_service_deactivate_sequence
reasonable_mpam_ranges
constraint
defined in class svt_chi_rn_transaction
reasonable_mpam_ranges
constraint
defined in class svt_chi_ic_snoop_transaction
reasonable_num_cache_lines
constraint
defined in class svt_chi_node_configuration
reasonable_num_exceptions
constraint
defined in class svt_exception_list
reasonable_num_outstanding_atomic_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_cmo_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_control_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_read_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_snoop_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_write_xact
constraint
defined in class svt_chi_node_configuration
reasonable_num_outstanding_xact
constraint
defined in class svt_chi_node_configuration
reasonable_pbha_ranges
constraint
defined in class svt_chi_rn_transaction
reasonable_pbha_snp_xact_ranges
constraint
defined in class svt_chi_ic_snoop_transaction
reasonable_pcreditgrant_to_retryack_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_poison_for_makereadunique
constraint
defined in class svt_chi_rn_transaction
reasonable_poison_for_makeunique_cleanunique
constraint
defined in class svt_chi_rn_transaction
reasonable_rate
constraint
defined in class svt_fifo_rate_control_configuration
reasonable_rcvd_dat_flit_to_lcrd_delay
constraint
defined in class svt_chi_node_configuration
reasonable_rcvd_req_flit_to_lcrd_delay
constraint
defined in class svt_chi_node_configuration
reasonable_rcvd_rsp_flit_to_lcrd_delay
constraint
defined in class svt_chi_node_configuration
reasonable_rcvd_snp_flit_to_lcrd_delay
constraint
defined in class svt_chi_node_configuration
reasonable_req_to_comp_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_compdata_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_compdbid_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_comppersist_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_compstashdone_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_dbid_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_dbidrespord_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_pcreditgrant_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_persist_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_retryack_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_req_to_stashdone_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_retryack_to_pcreditgrant_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_rn_transaction_uvm_reg
constraint
defined in class svt_chi_rn_reg_transaction
reasonable_rx_dat_vc_flit_buffer_size
constraint
defined in class svt_chi_node_configuration
reasonable_rx_link_activation_timeout
constraint
defined in class svt_chi_node_configuration
reasonable_rx_link_deactivation_timeout
constraint
defined in class svt_chi_node_configuration
reasonable_rx_req_vc_flit_buffer_size
constraint
defined in class svt_chi_node_configuration
reasonable_rx_rsp_vc_flit_buffer_size
constraint
defined in class svt_chi_node_configuration
reasonable_rx_snp_vc_flit_buffer_size
constraint
defined in class svt_chi_node_configuration
reasonable_rx_vc_credit_transmission_timeout
constraint
defined in class svt_chi_node_configuration
reasonable_rxdatlcrdv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_rxrsplcrdv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_rxsnplcrdv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_sequence_length
constraint
defined in class svt_chi_rn_transaction_random_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_rn_exclusive_access_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_rn_makeunique_cache_initialization_directed_sequence
reasonable_sequence_length
constraint
defined in class chi_rn_barrier_directed_sequence
reasonable_sequence_length
constraint
defined in class chi_rn_directed_noncoherent_xact_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_ic_snoop_transaction_random_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_ic_dvm_snoop_transaction_random_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_ic_snoop_transaction_directed_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_ic_stash_snoop_transaction_directed_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_protocol_service_random_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_protocol_service_coherency_exit_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_protocol_service_coherency_entry_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_protocol_service_random_coherency_exit_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_link_service_deactivate_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_link_service_activate_sequence
reasonable_sequence_length
constraint
defined in class chi_rn_barrier_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_read_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_makeunique_makeunique_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_copyback_snoop_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_write_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_write_copyback_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_write_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_cmo_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_write_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_read_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_read_copyback_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_cmo_copyback_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_noncopyback_write_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_copyback_write_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_standalone_cmo_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_standalone_cmo_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_noncopyback_write_cmo_with_read_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_protocol_flow_ctrl_hn_combined_coherent_copyback_write_cmo_with_read_hazard_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_read_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_copyback_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_write_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_atomic_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_atomic_cmo_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_noninvalidating_snoop_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_e_system_protocol_flow_ctrl_hn_writesoptionaldata_invalidating_snoop_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_write_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_read_hazard_directed_virtual_sequence
reasonable_sequence_length
constraint
defined in class svt_chi_system_protocol_flow_ctrl_hn_makereadunique_copyback_hazard_directed_virtual_sequence
reasonable_snp_attr_for_intervening_atomic_xact
constraint
defined in class svt_chi_rn_exclusive_access_sequence
reasonable_snp_rsp_isshared
constraint
defined in class svt_chi_ic_snoop_transaction
reasonable_stashdone_to_comp_flit_delay
constraint
defined in class svt_chi_transaction
reasonable_tx_datapull_compack_flitpend_delay
constraint
defined in class svt_chi_snoop_transaction
reasonable_tx_datapull_compack_flitv_delay
constraint
defined in class svt_chi_snoop_transaction
reasonable_tx_link_activation_timeout
constraint
defined in class svt_chi_node_configuration
reasonable_tx_link_deactivation_time
constraint
defined in class svt_chi_node_configuration
reasonable_tx_link_deactivation_timeout
constraint
defined in class svt_chi_node_configuration
reasonable_txdatflitpend_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txdatflitv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txreqflitpend_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txreqflitv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txrspflitpend_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txrspflitv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_txsnpflitv_delay
constraint
defined in class svt_chi_common_transaction
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_address_configuration
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_common_transaction_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_base_transaction_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_snoop_transaction_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_transaction_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_flit_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_rn_transaction_exception
reasonable_VARIABLE_NAME
constraint
defined in class svt_chi_sn_transaction_exception
reasonable_writecmo_compcmo_resp_err
constraint
defined in class svt_chi_sn_transaction
reasonable_xact_type_read
constraint
defined in class svt_chi_rn_exclusive_access_sequence
reasonable_xact_type_write
constraint
defined in class svt_chi_rn_exclusive_access_sequence
received_copyback_responses
attribute
attribute type int, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_write_copyback_cmo_outstanding_diff_rn_same_hn_virtual_sequence
received_copyback_responses
attribute
attribute type int, defined in class svt_chi_e_system_protocol_flow_ctrl_combined_copyback_write_cmo_outstanding_same_rn_same_hn_virtual_sequence
received_copyback_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_same_rn_same_hn_virtual_sequence
received_copyback_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_copyback_outstanding_diff_rn_same_hn_virtual_sequence
received_makereadunique_seq_1_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence
received_makereadunique_seq_2_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence
received_read_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_same_rn_same_hn_virtual_sequence
received_read_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_same_hn_virtual_sequence
received_read_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_same_rn_same_hn_virtual_sequence
received_read_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_same_hn_virtual_sequence
received_read_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_makereadunique_outstanding_diff_rn_diff_hn_virtual_sequence
received_read_seq1_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence
received_read_seq2_responses
attribute
attribute type int, defined in class svt_chi_system_protocol_flow_ctrl_read_outstanding_diff_rn_diff_hn_virtual_sequence
received_responses
attribute
attribute type int, defined in class svt_chi_rn_exclusive_access_sequence
received_responses
attribute
attribute type int, defined in class chi_rn_barrier_directed_sequence
received_responses
attribute
attribute type int, defined in class chi_rn_directed_noncoherent_xact_sequence
recognized
attribute
attribute type bit, defined in class svt_exception
reconfigure
function
function, defined in class uvm_mem_mam,  returns type uvm_mem_mam_cfg
reconfigure
function
function, defined in class svt_component,  returns type void
reconfigure
function
function, defined in class svt_driver,  returns type void
reconfigure
function
function, defined in class svt_monitor,  returns type void
reconfigure
function
function, defined in class svt_sequencer,  returns type void
reconfigure
function
function, defined in class svt_agent,  returns type void
reconfigure
function
function, defined in class svt_env,  returns type void
reconfigure
function
function, defined in class svt_chi_protocol_service_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_link_service_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_flit_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_rn_virtual_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_rn_virtual_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_sn_virtual_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_sn_virtual_sequencer,  returns type void
reconfigure
function
function, defined in class svt_chi_node_pmu,  returns type void
reconfigure
function
function, defined in class svt_chi_rn_protocol,  returns type void
reconfigure
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
reconfigure
function
function, defined in class svt_chi_rn_link,  returns type void
reconfigure
function
function, defined in class svt_chi_rn_link_monitor,  returns type void
reconfigure
function
function, defined in class svt_chi_sn_link,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_rn_link,  returns type void
reconfigure
function
function, defined in class svt_chi_rn_agent,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_rn_agent,  returns type void
reconfigure
function
function, defined in class svt_chi_sn_protocol,  returns type void
reconfigure
function
function, defined in class svt_chi_sn_link_monitor,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_sn_link,  returns type void
reconfigure
function
function, defined in class svt_chi_sn_agent,  returns type void
reconfigure
function
function, defined in class svt_chi_ic_sn_agent,  returns type void
reconfigure
function
function, defined in class svt_chi_system_env,  returns type void
reconfigure
function
function, defined in class svt_chi_interconnect_env,  returns type void
record
function
function, defined in class uvm_object,  returns type void
record_cfg_info
function
function, defined in class svt_configuration,  returns type void
record_debug_property
function
function, defined in class svt_debug_vip_descriptor,  returns type void
record_debug_property
function
function, defined in class svt_debug_opts,  returns type void
record_error_tr
function
function, defined in class uvm_component,  returns type integer
record_event_tr
function
function, defined in class uvm_component,  returns type integer
record_field
function
function, defined in class uvm_recorder,  returns type void
record_field_real
function
function, defined in class uvm_recorder,  returns type void
record_generic
function
function, defined in class uvm_recorder,  returns type void
record_header_line
function
function, defined in class svt_debug_opts,  returns type void
record_message
function
function, defined in class svt_sequence_item_report,  returns type void
record_object
function
function, defined in class uvm_recorder,  returns type void
record_package_timeunit
function
function, defined in class svt_debug_opts,  returns type void
record_pattern_data
function
function, defined in class svt_sequence_item_base,  returns type void
record_phase_start_time
function
function, defined in class svt_debug_opts,  returns type void
record_read_access
function
function, defined in class uvm_resource_base,  returns type void
record_string
function
function, defined in class uvm_recorder,  returns type void
record_time
function
function, defined in class uvm_recorder,  returns type void
record_to_fsdb
function arg
arg type input bit, defined in function svt_axi_cache :: read_by_index
record_to_fsdb
function arg
arg type input bit, defined in function svt_axi_cache :: read_line_by_index
record_to_fsdb
function arg
arg type input bit, defined in function svt_axi_cache :: read_by_addr
record_to_fsdb
function arg
arg type input bit, defined in function svt_axi_cache :: read_line_by_addr
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: write
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: backdoor_write
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: invalidate_addr
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: invalidate_index
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: update_status
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: update_tag_status
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_prot_type
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_cache_type
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_tag
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_poison
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_pbha
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_cah
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_mecid
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_data_check
record_to_fsdb
function arg
arg type bit, defined in function svt_axi_cache :: set_data_check_passed
record_vip_info
function
function, defined in class svt_vip_writer,  returns type void
record_vip_info
function
function, defined in class svt_debug_opts,  returns type void
record_write_access
function
function, defined in class uvm_resource_base,  returns type void
record_xact
function
function, defined in class svt_sequence_item_report,  returns type void
record_xact_impl
function
function, defined in class svt_sequence_item_report,  returns type void
record_xact_trace
function
function, defined in class svt_sequence_item_report,  returns type void
recorder
function arg
arg type uvm_recorder, defined in function uvm_object :: record
recorder
function arg
arg type uvm_recorder, defined in function uvm_object :: do_record
recorder
attribute
attribute type uvm_recorder, defined in class uvm_status_container
recorder
attribute
attribute type uvm_recorder, defined in class uvm_component
recorder
function arg
arg type uvm_recorder, defined in function uvm_transaction :: enable_recording
recorder
function arg
arg type uvm_recorder, defined in function uvm_transaction :: do_record
recorder
function arg
arg type uvm_recorder, defined in function uvm_random_sequence :: do_record
recorder
function arg
arg type uvm_recorder, defined in function uvm_exhaustive_sequence :: do_record
recorder
function arg
arg type uvm_recorder, defined in function uvm_tlm_generic_payload :: do_record
recorder
function arg
arg type uvm_recorder, defined in function svt_sequence_item_base :: do_record
recorder
function arg
arg type uvm_recorder, defined in function svt_sequence_item_base :: record_pattern_data
recording_depth
attribute
attribute type int, defined in class uvm_recorder
recording_detail
attribute
attribute type int unsigned, defined in class uvm_component
recurse
function arg
arg type bit, defined in function uvm_object :: set_int_local
recurse
function arg
arg type bit, defined in function uvm_object :: set_string_local
recurse
function arg
arg type bit, defined in function uvm_object :: set_object_local
recurse
function arg
arg type bit, defined in function uvm_component :: check_config_usage
recurse
function arg
arg type bit, defined in function uvm_component :: print_config_settings
recurse
function arg
arg type bit, defined in function uvm_component :: print_config
recurse
function arg
arg type bit, defined in function uvm_component :: print_config_with_audit
recurse
function arg
arg type bit, defined in function uvm_component :: set_int_local
recurse
function arg
arg type bit, defined in function svt_sequence_item_base :: set_int_local
recurse
function arg
arg type bit, defined in function svt_sequence_item_base :: set_string_local
recursive_op_enum
enum typedef
defined in class svt_sequence_item_base
recycle_me
function
function, defined in class svt_sequence_item,  returns type void
ref_object_uid
function arg
arg type string, defined in function svt_vip_writer :: add_pa_reference
ref_rn_f_node_index
task arg
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_rand_readshared_xact
ref_rn_f_node_index
task arg
arg type int, defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_rand_readnotshareddirty_xact
ref_time_for_hn_sn_bw
attribute
attribute type real, defined in class svt_chi_hn_status
ref_time_for_rn_hn_bw
attribute
attribute type real, defined in class svt_chi_hn_status
reference
attribute
attribute type bit, defined in class uvm_printer_knobs
reference
attribute
attribute type protected string, defined in class svt_err_check_stats
reference
function arg
arg type string, defined in function svt_err_check_stats :: new
reference
function arg
arg type input string, defined in function svt_err_check :: register
reference_event_for_comp_to_dbid_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_comp_to_dbid_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_comp_to_dbid_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_comp_to_dbidrespord_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_comp_to_dbidrespord_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_comp_to_dbidrespord_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_comp_to_stashdone_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_comp_to_stashdone_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_comp_to_stashdone_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_dbid_to_comp_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_dbid_to_comp_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_dbid_to_comp_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_dbidrespord_to_comp_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_dbidrespord_to_comp_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_dbidrespord_to_comp_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_first_txdatflitpend_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_first_txdatflitpend_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_first_txdatflitpend_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_next_txdatflitpend_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_next_txdatflitpend_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_next_txdatflitpend_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_pcreditgrant_to_retryack_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_pcreditgrant_to_retryack_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_pcreditgrant_to_retryack_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_comp_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_comp_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_comp_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_compdata_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_compdata_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_compdata_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_compdbid_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_compdbid_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_compdbid_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_comppersist_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_comppersist_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_comppersist_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_compstashdone_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_compstashdone_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_compstashdone_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_dbid_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_dbid_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_dbid_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_dbidrespord_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_dbidrespord_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_dbidrespord_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_pcreditgrant_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_pcreditgrant_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_pcreditgrant_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_persist_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_persist_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_persist_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_retryack_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_retryack_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_retryack_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_req_to_stashdone_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_req_to_stashdone_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_req_to_stashdone_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_retryack_to_pcreditgrant_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_retryack_to_pcreditgrant_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_retryack_to_pcreditgrant_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_stashdone_to_comp_flit_delay
attribute
attribute type rand svt_chi_transaction :: reference_event_for_stashdone_to_comp_flit_delay_enum, defined in class svt_chi_transaction
reference_event_for_stashdone_to_comp_flit_delay_enum
enum typedef
defined in class svt_chi_transaction
reference_event_for_tx_datapull_compack_flitpend_delay
attribute
attribute type rand svt_chi_snoop_transaction :: reference_event_for_tx_datapull_compack_flitpend_delay_enum, defined in class svt_chi_snoop_transaction
reference_event_for_tx_datapull_compack_flitpend_delay_enum
enum typedef
defined in class svt_chi_snoop_transaction
reference_event_for_tx_datapull_compack_flitv_delay
attribute
attribute type rand svt_chi_snoop_transaction :: reference_event_for_tx_datapull_compack_flitv_delay_enum, defined in class svt_chi_snoop_transaction
reference_event_for_tx_datapull_compack_flitv_delay_enum
enum typedef
defined in class svt_chi_snoop_transaction
reference_event_for_txdatflitv_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txdatflitv_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txdatflitv_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_txreq_assertion_when_rx_is_in_deactivate_state
attribute
attribute type rand svt_chi_node_configuration :: reference_event_for_txreq_assertion_when_rx_is_in_deactivate_state_enum, defined in class svt_chi_node_configuration
reference_event_for_txreq_assertion_when_rx_is_in_deactivate_state_enum
enum typedef
defined in class svt_chi_node_configuration
reference_event_for_txreq_deassertion_when_rx_is_in_deactivate_state
attribute
attribute type rand svt_chi_node_configuration :: reference_event_for_txreq_deassertion_when_rx_is_in_deactivate_state_enum, defined in class svt_chi_node_configuration
reference_event_for_txreq_deassertion_when_rx_is_in_deactivate_state_enum
enum typedef
defined in class svt_chi_node_configuration
reference_event_for_txreqflitpend_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txreqflitpend_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txreqflitpend_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_txreqflitv_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txreqflitv_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txreqflitv_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_txrspflitpend_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txrspflitpend_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txrspflitpend_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_txrspflitv_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txrspflitv_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txrspflitv_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_event_for_txsnpflitv_delay
attribute
attribute type rand svt_chi_common_transaction :: reference_event_for_txsnpflitv_delay_enum, defined in class svt_chi_common_transaction
reference_event_for_txsnpflitv_delay_enum
enum typedef
defined in class svt_chi_common_transaction
reference_nonstash_snoop_xact
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
reference_stash_snoop_xact
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
reg2bus
function
function, defined in class uvm_reg_adapter,  returns type uvm_sequence_item
reg2bus
function
function, defined in class uvm_reg_tlm_adapter,  returns type uvm_sequence_item
reg2bus
function
function, defined in class svt_chi_reg_adapter,  returns type uvm_sequence_item
reg2chi_adapter
attribute
attribute type svt_chi_reg_adapter, defined in class svt_chi_rn_agent
reg_a
function arg
arg type uvm_reg, defined in function uvm_reg_indirect_data :: configure
reg_ap
attribute
attribute type uvm_analysis_port, defined in class uvm_reg_predictor
reg_item
attribute
attribute type uvm_reg_item, defined in class uvm_predict_s
reg_seq
attribute
attribute type protected uvm_reg_single_bit_bash_seq, defined in class uvm_reg_bit_bash_seq
reg_seq
attribute
attribute type protected uvm_reg_single_access_seq, defined in class uvm_reg_access_seq
reg_seq
attribute
attribute type protected uvm_reg_shared_access_seq, defined in class uvm_reg_mem_shared_access_seq
reg_seqr
attribute
attribute type uvm_sequencer, defined in class uvm_reg_sequence
reg_sequences
attribute
attribute type protected uvm_sequence_base, defined in class uvm_sequencer_base
regex
function arg
arg type string, defined in function glboal :: uvm_dpi_regcomp
regfile_parent
function arg
arg type uvm_reg_file, defined in function uvm_reg :: configure
regfile_parent
function arg
arg type uvm_reg_file, defined in function uvm_reg :: set_parent
regfile_parent
function arg
arg type uvm_reg_file, defined in function uvm_reg_file :: configure
regfile_parent
function arg
arg type uvm_reg_file, defined in function uvm_reg_indirect_data :: configure
region
function arg
arg type uvm_mem_region, defined in function uvm_mem_mam :: release_region
region
function arg
arg type int unsigned, defined in function svt_amba_pv_extension :: set_region
register
function
function, defined in class uvm_factory,  returns type void
register
function
function, defined in class svt_err_check,  returns type svt_err_check_stats
register_check
function
function, defined in class svt_err_check,  returns type void
register_cov_override
function
function, defined in class svt_err_check_stats,  returns type void
register_err_check
function
function, defined in class svt_err_check,  returns type void
register_err_check_stats
function
function, defined in class svt_err_check,  returns type void
register_fail
function
function, defined in class svt_err_check_stats,  returns type void
register_pass
function
function, defined in class svt_err_check_stats,  returns type void
register_super_type
function
function, defined in class uvm_derived_callbacks,  returns type bit
registered_err_check
attribute
attribute type protected svt_err_check, defined in class svt_err_check
regs
function arg
arg type ref uvm_reg, defined in function uvm_reg_block :: get_registers
regs
function arg
arg type ref uvm_vreg, defined in function uvm_reg_block :: get_virtual_registers
regs
function arg
arg type ref uvm_vreg, defined in function uvm_mem :: get_virtual_registers
regs
function arg
arg type ref uvm_reg, defined in function uvm_reg_map :: get_registers
regs
function arg
arg type ref uvm_vreg, defined in function uvm_reg_map :: get_virtual_registers
relation
function arg
arg type string, defined in function uvm_recorder :: link_tr
relation_type
function arg
arg type string, defined in function svt_vip_writer :: set_custom_relation
relation_type
function arg
arg type string, defined in function svt_vip_writer :: set_custom_relations
relative_inst_path
function arg
arg type string, defined in function uvm_component :: set_inst_override_by_type
relative_inst_path
function arg
arg type string, defined in function uvm_component :: set_inst_override
release_all_regions
function
function, defined in class uvm_mem_mam,  returns type void
release_region
function
function, defined in class uvm_vreg,  returns type void
release_region
function
function, defined in class uvm_mem_region,  returns type void
release_region
function
function, defined in class uvm_mem_mam,  returns type void
RELEVANT
enum value
member of svt_sequence_item_base :: kind_enum
REMOTE
enum value
member of svt_chi_transaction :: link_activation_deactivation_initiator_info_enum
REMOTE
enum value
member of svt_chi_snoop_transaction :: link_activation_deactivation_initiator_info_enum
remove
function
function, defined in class uvm_heartbeat,  returns type void
remove
function
function, defined in class uvm_reg_read_only_cbs,  returns type void
remove
function
function, defined in class uvm_reg_write_only_cbs,  returns type void
remove_collisions
function
function, defined in class svt_exception_list,  returns type void
remove_empty_exceptions
function
function, defined in class svt_exception_list,  returns type void
remove_message_id_to_demote
function
function, defined in class svt_err_catcher,  returns type void
remove_message_text_to_demote
function
function, defined in class svt_err_catcher,  returns type void
remove_sequence
function
function, defined in class uvm_sequencer_base,  returns type void
remove_sequence
function
function, defined in class uvm_sequence_library,  returns type void
replace
function arg
arg type bit, defined in function uvm_component :: set_type_override_by_type
replace
function arg
arg type bit, defined in function uvm_component :: set_type_override
replace
function arg
arg type bit, defined in function uvm_factory :: set_type_override_by_type
replace
function arg
arg type bit, defined in function uvm_factory :: set_type_override_by_name
replace
function arg
arg type bit, defined in function uvm_component_registry :: set_type_override
replace
function arg
arg type bit, defined in function uvm_object_registry :: set_type_override
replicate
attribute
attribute type rand bit, defined in class svt_chi_snoop_transaction
replicate
attribute
attribute type rand bit, defined in class svt_chi_flit
report
function
function, defined in class uvm_component,  returns type void
report
function
function, defined in class uvm_report_handler,  returns type void
report
function
function, defined in class uvm_report_server,  returns type void
report
function
function, defined in class uvm_root_report_handler,  returns type void
report
function
function, defined in class svt_err_check,  returns type void
report
function
function, defined in class svt_err_catcher,  returns type bit
report_all_check_info
function
function, defined in class svt_err_check,  returns type void
report_all_check_stats
function
function, defined in class svt_err_check,  returns type void
report_check_info
function
function, defined in class svt_err_check,  returns type void
report_check_stats
function
function, defined in class svt_err_check,  returns type void
report_error_hook
function
function, defined in class uvm_report_object,  returns type bit
report_fatal_hook
function
function, defined in class uvm_report_object,  returns type bit
report_header
function
function, defined in class uvm_report_object,  returns type void
report_header
function
function, defined in class uvm_report_handler,  returns type void
report_hook
function
function, defined in class uvm_report_object,  returns type bit
report_info
function
function, defined in class svt_err_check_stats,  returns type void
report_info_hook
function
function, defined in class uvm_report_object,  returns type bit
report_obj
function arg
arg type uvm_report_object, defined in function svt_chi_memory :: new
REPORT_OBJ
macro
 
report_ph
attribute
attribute type uvm_phase, defined in global
report_phase
function
function, defined in class uvm_component,  returns type void
report_phase
function
function, defined in class svt_agent,  returns type void
report_phase
function
function, defined in class svt_env,  returns type void
report_phase
function
function, defined in class svt_chi_rn_protocol,  returns type void
report_phase
function
function, defined in class svt_chi_node_protocol_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_rn_protocol_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_rn_link,  returns type void
report_phase
function
function, defined in class svt_chi_rn_link_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_sn_link,  returns type void
report_phase
function
function, defined in class svt_chi_ic_rn_link,  returns type void
report_phase
function
function, defined in class svt_chi_rn_agent,  returns type void
report_phase
function
function, defined in class svt_chi_ic_rn_agent,  returns type void
report_phase
function
function, defined in class svt_chi_sn_protocol,  returns type void
report_phase
function
function, defined in class svt_chi_sn_protocol_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_sn_link_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_ic_sn_link,  returns type void
report_phase
function
function, defined in class svt_chi_sn_agent,  returns type void
report_phase
function
function, defined in class svt_chi_ic_sn_agent,  returns type void
report_phase
function
function, defined in class svt_chi_system_monitor,  returns type void
report_phase
function
function, defined in class svt_chi_system_env,  returns type void
report_phase
function
function, defined in class svt_chi_interconnect_env,  returns type void
report_relnotes_banner
function
function, defined in class uvm_report_handler,  returns type void
report_src
function arg
arg type string, defined in function svt_chi_node_protocol_monitor_transaction_report_callback :: report_xact
report_src
function arg
arg type string, defined in function svt_chi_node_protocol_monitor_snoop_transaction_report_callback :: report_xact
report_src
function arg
arg type string, defined in function svt_chi_rn_link_monitor_transaction_report_callback :: report_xact
report_src
function arg
arg type string, defined in function svt_chi_sn_link_monitor_transaction_report_callback :: report_xact
report_stats
function
function, defined in class svt_err_check_stats,  returns type void
report_summarize
function
function, defined in class uvm_report_object,  returns type void
report_warning_hook
function
function, defined in class uvm_report_object,  returns type bit
report_xact
function
function, defined in class svt_chi_node_protocol_monitor_transaction_report_callback,  returns type void
report_xact
function
function, defined in class svt_chi_node_protocol_monitor_snoop_transaction_report_callback,  returns type void
report_xact
function
function, defined in class svt_chi_rn_link_monitor_transaction_report_callback,  returns type void
report_xact
function
function, defined in class svt_chi_sn_link_monitor_transaction_report_callback,  returns type void
reporter
attribute
attribute type static uvm_report_object, defined in class uvm_callback
reporter
attribute
attribute type static uvm_report_object, defined in class uvm_callbacks
reporter
attribute
attribute type uvm_report_object, defined in class svt_vip_writer
reporter
attribute
attribute type uvm_report_object, defined in class svt_debug_opts
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_base :: get_environment_variable_value
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_base :: get_timeunit_str
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_base :: strip_array_element_suffix
reporter
attribute
attribute type static uvm_report_object, defined in class svt_randomize_assistant
reporter
attribute
attribute type uvm_report_object, defined in class svt_sequence_item_base_iter
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_base_iter :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_data_converter
reporter
function arg
arg type uvm_report_object, defined in function svt_data_converter :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_err_check_stats
reporter
attribute
attribute type protected uvm_report_object, defined in class svt_err_check
reporter
function arg
arg type uvm_report_object, defined in function svt_err_check :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_event_pool
reporter
function arg
arg type uvm_report_object, defined in function svt_event_pool :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_base_queue_iter :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_timer
reporter
function arg
arg type uvm_report_object, defined in function svt_timer :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_timer :: init
reporter
function arg
arg type uvm_report_object, defined in function svt_triggered_timer :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_sequence_item_iter :: new
reporter
attribute
attribute type static protected uvm_report_object, defined in class svt_sequence_item_report
reporter
function arg
arg type string, defined in function svt_sequence_item_report :: psdisplay_xact
reporter
function arg
arg type string, defined in function svt_sequence_item_report :: psdisplay_xact_queue
reporter
function arg
arg type string, defined in function svt_sequence_item_report :: record_xact
reporter
function arg
arg type string, defined in function svt_sequence_item_report :: record_xact_impl
reporter
function arg
arg type string, defined in function svt_sequence_item_report :: record_xact_trace
reporter
attribute
attribute type uvm_report_object, defined in class svt_uvm_cmd_assistant
reporter
attribute
attribute type uvm_report_object, defined in class svt_component
reporter
attribute
attribute type uvm_report_object, defined in class svt_driver
reporter
attribute
attribute type uvm_report_object, defined in class svt_monitor
reporter
attribute
attribute type uvm_report_object, defined in class svt_sequencer
reporter
attribute
attribute type uvm_report_object, defined in class svt_agent
reporter
attribute
attribute type uvm_report_object, defined in class svt_env
reporter
attribute
attribute type static uvm_report_object, defined in class svt_sequence
reporter
attribute
attribute type static uvm_report_object, defined in class svt_dispatch_sequence
reporter
attribute
attribute type uvm_report_object, defined in class svt_dispatch
reporter
function arg
arg type uvm_report_object, defined in function svt_dispatch :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_fsm
reporter
function arg
arg type uvm_report_object, defined in function svt_fsm :: new
reporter
attribute
attribute type uvm_report_object, defined in class svt_fsm_state_base
reporter
attribute
attribute type protected uvm_report_object, defined in class svt_fifo_rate_control
reporter
attribute
attribute type uvm_report_object, defined in class svt_chi_exclusive_monitor
reporter
function arg
arg type uvm_report_object, defined in function svt_chi_exclusive_monitor :: new
reporter
attribute
attribute type protected uvm_report_object, defined in class svt_chi_scenario_coverage
reporter
attribute
attribute type uvm_report_object, defined in class svt_chi_node_pmu
reporter
function arg
arg type uvm_report_object, defined in function svt_chi_node_pmu :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_chi_link_txla_fsm :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_chi_link_rxla_fsm :: new
reporter
function arg
arg type uvm_report_object, defined in function svt_chi_link_sysco_interface_fsm :: new
reporter
attribute
attribute type const uvm_report_object, defined in global
req
function arg
arg type input T1, defined in function uvm_tlm_if_base :: nb_transport
req
task arg
arg type input T1, defined in task uvm_tlm_if_base :: transport
req
task arg
arg type REQ, defined in task uvm_blocking_transport_imp :: transport
req
function arg
arg type REQ, defined in function uvm_nonblocking_transport_imp :: nb_transport
req
function arg
arg type REQ, defined in function uvm_transport_imp :: nb_transport
req
task arg
arg type REQ, defined in task uvm_transport_imp :: transport
req
task arg
arg type REQ, defined in task uvm_blocking_transport_port :: transport
req
function arg
arg type REQ, defined in function uvm_nonblocking_transport_port :: nb_transport
req
function arg
arg type REQ, defined in function uvm_transport_port :: nb_transport
req
task arg
arg type REQ, defined in task uvm_transport_port :: transport
req
task arg
arg type REQ, defined in task uvm_blocking_transport_export :: transport
req
function arg
arg type REQ, defined in function uvm_nonblocking_transport_export :: nb_transport
req
function arg
arg type REQ, defined in function uvm_transport_export :: nb_transport
req
task arg
arg type REQ, defined in task uvm_transport_export :: transport
req
function arg
arg type REQ, defined in function uvm_tlm_transport_channel :: nb_transport
req
attribute
attribute type REQ, defined in class uvm_driver
req
attribute
attribute type REQ, defined in class uvm_push_driver
req
attribute
attribute type REQ, defined in class uvm_sequence
req
function arg
arg type REQ, defined in function svt_reactive_driver :: item_req
req
task arg
arg type output REQ, defined in task svt_reactive_driver :: get
req
task arg
arg type output REQ, defined in task svt_reactive_sequencer :: wait_for_req
req
task arg
arg type REQ, defined in task svt_dispatch_sequence :: dispatch
req
function arg
arg type REQ, defined in function svt_reactive_sequence :: post_req
req
task arg
arg type output REQ, defined in task svt_reactive_sequence :: wait_for_req
REQ
enum value
member of svt_chi_common_transaction :: flit_type_enum
req
task arg
arg type output svt_chi_sn_transaction, defined in task svt_chi_sn_transaction_base_sequence :: wait_for_response_request
req
task arg
arg type output svt_chi_ic_sn_transaction, defined in task svt_chi_ic_sn_transaction_base_sequence :: wait_for_response_request
req
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_transaction_random_sequence :: send_random_transaction
req
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_transaction_xact_type_sequence :: send_random_transaction
req
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_transaction_dvm_write_semantic_sequence :: send_random_transaction
req
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_transaction_dvm_sync_sequence :: send_random_transaction
req
task arg
arg type output svt_chi_rn_snoop_transaction, defined in task svt_chi_snoop_transaction_base_sequence :: wait_for_snoop_request
req
task arg
arg type svt_chi_rn_snoop_transaction, defined in task svt_chi_rn_snoop_response_sequence :: populate_initial_state_and_data_fields_for_snoop
req_accept_realtime
attribute
attribute type realtime, defined in class svt_chi_transaction
REQ_ACCEPTED
enum value
member of svt_chi_common_transaction :: order_type_enum
REQ_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBID_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBID_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBID_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBIDRESPORD_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBIDRESPORD_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_COMPACK_DBIDRESPORD_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBID_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_DBIDRESPORD_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_PERSIST
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMP_STASHDONE
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_COMPACK_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_COMPACK_READRECEIPT
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_DBID_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_DBID_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_DBIDRESPORD_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_DBIDRESPORD_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_READRECEIPT
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_READRECEIPT_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_READRECEIPT_COMPACK_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_READRECEIPT_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDATA_READRECEIPT_COMPDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_CBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPDBIDRESP_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPPERSIST
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_COMPSTASHDONE
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DATASEPRESP_RESPSEPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DATASEPRESP_RESPSEPDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DATASEPRESP_RESPSEPDATA_COMPACK_DATASEPRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DATASEPRESP_RESPSEPDATA_DATASEPRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMP_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPACK_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPDATA_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_COMPDATA_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMP_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPACK_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_COMPDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_TAGMATCH_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_TAGMATCH_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATA_TAGMATCH_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATACOMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATACOMPACK_COMP_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATACOMPACK_COMP_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATACOMPACK_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_NCBWRDATACOMPACK_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMP_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMPACK_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBID_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMP_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPACK_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPDATA_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_COMPDATA_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_COMPACK_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_COMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMP_TAGMATCH_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_COMP_NCBWRDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_COMP_NCBWRDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPACK_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_COMPDATA_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_TAGMATCH_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_TAGMATCH_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATA_TAGMATCH_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATACOMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATACOMPACK_COMP_NCBWRDATACOMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATACOMPACK_COMP_NCBWRDATACOMPACK_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATACOMPACK_COMP_TAGMATCH
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_NCBWRDATACOMPACK_TAGMATCH_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP_WRITEDATACANCEL_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMP_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMPACK_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMPACK_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_DBIDRESPORD_WRITEDATACANCEL_COMPACK_WRITEDATACANCEL_COMP_WRITEDATACANCEL
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_EP_ORDERING_REQUIRED
enum value
member of svt_chi_common_transaction :: order_type_enum
req_export
attribute
attribute type uvm_blocking_put_imp, defined in class uvm_push_driver
req_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_tx_req_flit
req_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_sn_protocol_monitor :: write_sn_rx_req_flit
req_flit
task arg
arg type svt_chi_flit, defined in task svt_chi_interconnect :: put_rn_req_flit
REQ_FLIT_AND_LCRD_AVAILABLE_AT_LINK_LAYER
enum value
member of svt_chi_common_transaction :: reference_event_for_txreqflitpend_delay_enum
req_flit_atomiccompare_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_atomicload_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_atomicstore_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_atomicswap_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleaninvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleaninvalidpopa_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleansharedpersist_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_cleanunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_dvmop_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_ecbarrier_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_end_time
function arg
arg type output real, defined in function svt_chi_common_transaction :: get_req_timing_info
req_flit_eobarrier_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_evict_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_lcrdreturn_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_makeinvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_makereadunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_makeunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_pcrdreturn_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_prefetchtgt_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readclean_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readnosnp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readnosnpsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readnotshareddirty_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readonce_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readoncecleaninvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readoncemakeinvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readpreferunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_readunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_rsvdc_width
attribute
attribute type rand int, defined in class svt_chi_node_configuration
req_flit_stashoncesepshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_stashoncesepunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_stashonceshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_stashonceunique_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackfull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackfull_cleaninvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackfull_cleaninvalidpopa_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackfull_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackfull_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writebackptl_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writecleanfull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writecleanfull_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writecleanfull_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writecleanptl_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeevictfull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeevictorevict_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpdef_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpfull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpfull_cleaninvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpfull_cleaninvalidpopa_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpfull_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpfull_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpptl_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpptl_cleaninvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpptl_cleaninvalidpopa_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpptl_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpptl_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writenosnpzero_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniquefull_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniquefull_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniquefull_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniquefullstash_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniqueptl_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniqueptl_cleanshared_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniqueptl_cleansharedpersistsep_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniqueptlstash_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_flit_writeuniquezero_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
req_imp
function arg
arg type this_req_type, defined in function uvm_blocking_master_imp :: new
req_imp
function arg
arg type this_req_type, defined in function uvm_nonblocking_master_imp :: new
req_imp
function arg
arg type this_req_type, defined in function uvm_master_imp :: new
req_imp
function arg
arg type this_req_type, defined in function uvm_blocking_slave_imp :: new
req_imp
function arg
arg type this_req_type, defined in function uvm_nonblocking_slave_imp :: new
req_imp
function arg
arg type this_req_type, defined in function uvm_slave_imp :: new
req_item_export
attribute
attribute type uvm_blocking_get_port, defined in class svt_reactive_sequencer
req_item_port
attribute
attribute type svt_debug_opts_blocking_get_imp_port, defined in class svt_reactive_driver
req_kind
function arg
arg type int, defined in function uvm_sequencer_base :: get_sequence
req_kind
function arg
arg type int unsigned, defined in function uvm_sequence_base :: get_sequence
req_kind
task arg
arg type int unsigned, defined in task uvm_sequence_base :: do_sequence_kind
REQ_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQ_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
req_lcrd_suspend_resume_status
attribute
attribute type svt_chi_link_status :: lcrd_suspend_resume_status_enum, defined in class svt_chi_link_status
REQ_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQ_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
req_obj_num
interface attribute
defined in interface svt_chi_rn_if,
req_obj_num
interface attribute
defined in interface svt_chi_sn_if,
req_obj_num
interface attribute
defined in interface svt_chi_ic_rn_if,
req_obj_num
interface attribute
defined in interface svt_chi_ic_sn_if,
req_order_stream_id
attribute
attribute type rand int unsigned, defined in class svt_chi_transaction
req_order_stream_id
task arg
arg type int, defined in task svt_chi_system_req_order_noncoherent_xact_directed_virtual_sequence :: send_noncoherent_transaction
req_ordering_for_rn_sn_b2b_topology
constraint
defined in class svt_chi_rn_transaction
REQ_ORDERING_REQUIRED
enum value
member of svt_chi_common_transaction :: order_type_enum
REQ_ORDERING_REQUIRED_WRITE_NO_ORDERING_REQUIRED_ATOMIC
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_NO_ORDERING_REQUIRED_READ
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_NO_ORDERING_REQUIRED_WRITE
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_OWO_ATOMIC
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_OWO_READ
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_OWO_WRITE
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_EP_ORDERING_REQUIRED_ATOMIC
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_EP_ORDERING_REQUIRED_READ
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_EP_ORDERING_REQUIRED_WRITE
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_ORDERING_REQUIRED_ATOMIC
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_ORDERING_REQUIRED_READ
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_ORDERING_REQUIRED_WRITE_REQ_ORDERING_REQUIRED_WRITE
enum value
member of svt_chi_transaction :: txn1_followed_by_txn2_enum
REQ_PERSIST_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
req_port
attribute
attribute type uvm_blocking_put_port, defined in class uvm_push_sequencer
REQ_PROTOCOL_FLIT_OBSERVED
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQ_READRECEIPT_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_READRECEIPT_COMPDATA_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_READRECEIPT_COMPDATA_COMPACK_COMPDATA
enum value
member of svt_chi_transaction :: xact_flow_category_enum
req_resp
attribute
attribute type svt_chi_rn_snoop_transaction, defined in class svt_chi_rn_directed_snoop_response_sequence
req_resp
attribute
attribute type svt_chi_sn_transaction, defined in class svt_chi_sn_transaction_memory_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_transaction_memory_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_suspend_response_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_random_response_sequence
req_resp
attribute
attribute type svt_chi_ic_sn_transaction, defined in class svt_chi_ic_sn_reordering_response_sequence
REQ_RESPSEPDATA_COMPACK_DATASEPRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_RESPSEPDATA_DATASEPRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_RESPSEPDATA_DATASEPRESP_COMPACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_RESPSEPDATA_DATASEPRESP_COMPACK_DATASEPRESP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
REQ_RETRYACK
enum value
member of svt_chi_transaction :: xact_flow_category_enum
req_rsvdc
attribute
attribute type rand bit [(SVT_CHI_XACT_REQ_RSVDC_WIDTH-1):0], defined in class svt_chi_common_transaction
REQ_STASHDONE_COMP
enum value
member of svt_chi_transaction :: xact_flow_category_enum
req_success
task arg
arg type output bit, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
req_success
task arg
arg type output bit, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
req_success
task arg
arg type output bit, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
req_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
req_to_comp_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_compdata_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_compdbid_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_comppersist_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_compstashdone_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_dbid_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_dbidrespord_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_pcreditgrant_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_persist_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_retryack_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
req_to_stashdone_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
REQ_VC_ADVERTISED_CURR_L_CREDIT_COUNT
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQ_VC_BACK2BACK_CYCLES_PROTOCOL_FLITV_ASSERTION_COUNT
enum value
member of svt_chi_link_status :: link_activity_type_enum
req_vc_flit_opcode
attribute
attribute type rand svt_chi_common_transaction :: xact_type_enum, defined in class svt_chi_flit
req_vc_idle_val
attribute
attribute type rand svt_chi_node_configuration :: idle_val_enum, defined in class svt_chi_node_configuration
REQ_VC_L_CREDIT_RECEIVED_NEXT_CYCLE_FLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQ_VC_L_CREDIT_RECEIVED_SAME_CYCLE_FLITPEND_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
REQFLITV_FOR_PCREDITGRANT_VALID
enum value
member of svt_chi_transaction :: reference_event_for_req_to_pcreditgrant_flit_delay_enum
REQFLITV_FOR_RETRYACK_VALID
enum value
member of svt_chi_transaction :: reference_event_for_req_to_retryack_flit_delay_enum
REQLINKFLIT
enum value
member of svt_chi_common_transaction :: xact_type_enum
reqlinkflit_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
reqlinkflit_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
request
function arg
arg type uvm_sequence_item, defined in function uvm_sequence_base :: send_request
request
task arg
arg type REQ, defined in task uvm_tlm_transport_channel :: transport
request
attribute
attribute type uvm_sequencer_base :: seq_req_t, defined in class uvm_sequence_request
request
function arg
arg type uvm_sequence_item, defined in function uvm_sequence :: send_request
request_ap
attribute
attribute type uvm_analysis_port, defined in class uvm_tlm_req_rsp_channel
request_channel_transmission_policy_enum
enum typedef
defined in class svt_chi_common_transaction
request_fifo_size
function arg
arg type int, defined in function uvm_tlm_req_rsp_channel :: new
request_id
function arg
arg type int, defined in function uvm_sequencer_base :: m_set_arbitration_completed
request_id
task arg
arg type int, defined in task uvm_sequencer_base :: m_wait_for_arbitration_completed
request_id
attribute
attribute type int, defined in class uvm_sequence_request
request_node_indices
attribute
attribute type int, defined in class svt_chi_system_domain_item
request_node_indices
function arg
arg type int, defined in function svt_chi_system_configuration :: create_new_domain
request_node_indices
function arg
arg type int, defined in function svt_chi_system_configuration :: check_domain_inclusion
request_node_indices
function arg
arg type int, defined in function svt_chi_address_configuration :: create_new_domain
request_node_indices
function arg
arg type int, defined in function svt_chi_address_configuration :: check_domain_inclusion
request_ordering
attribute
attribute type int, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
request_ordering_status
attribute
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
request_region
function
function, defined in class uvm_mem_mam,  returns type uvm_mem_region
requested_type
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: create_object_by_type
requested_type
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: create_component_by_type
requested_type
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: debug_create_by_type
requested_type
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: find_override_by_type
requested_type
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: m_debug_create
requested_type_name
function arg
arg type string, defined in function uvm_component :: create_component
requested_type_name
function arg
arg type string, defined in function uvm_component :: create_object
requested_type_name
function arg
arg type string, defined in function uvm_component :: print_override_info
requested_type_name
function arg
arg type string, defined in function uvm_factory :: create_object_by_name
requested_type_name
function arg
arg type string, defined in function uvm_factory :: create_component_by_name
requested_type_name
function arg
arg type string, defined in function uvm_factory :: debug_create_by_name
requested_type_name
function arg
arg type string, defined in function uvm_factory :: find_override_by_name
requested_type_name
function arg
arg type string, defined in function uvm_factory :: m_debug_create
requested_type_name
function arg
arg type string, defined in function uvm_factory :: m_debug_display
require_issue_e_chi_spec_revision
attribute
attribute type bit, defined in class svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence
requires_go_before_barrier
attribute
attribute type rand bit, defined in class chi_rn_directed_noncoherent_xact_sequence
rerandomize
function arg
arg type bit, defined in function uvm_sequencer_base :: send_request
rerandomize
function arg
arg type bit, defined in function uvm_sequence_base :: send_request
rerandomize
function arg
arg type bit, defined in function uvm_sequencer_param_base :: send_request
rerandomize
function arg
arg type bit, defined in function uvm_sequence :: send_request
res
function arg
arg type real, defined in function uvm_tlm_time :: set_time_resolution
res
function arg
arg type real, defined in function uvm_tlm_time :: new
reseed
function
function, defined in class uvm_object,  returns type void
reserve_index
function
function, defined in class svt_axi_cache,  returns type bit
reserve_region
function
function, defined in class uvm_mem_mam,  returns type uvm_mem_region
RESERVED_DATA_SOURCE_FUNCTIONAL
enum value
member of svt_chi_common_transaction :: data_source_functional_enum
reset
function
function, defined in class uvm_packer,  returns type void
reset
function
function, defined in class uvm_barrier,  returns type void
reset
function
function, defined in class uvm_event,  returns type void
reset
function
function, defined in class uvm_tlm_time,  returns type void
reset
function arg
arg type uvm_reg_data_t, defined in function uvm_reg_field :: configure
reset
function
function, defined in class uvm_reg_field,  returns type void
reset
function
function, defined in class uvm_reg,  returns type void
reset
function
function, defined in class uvm_vreg,  returns type void
reset
function
function, defined in class uvm_reg_block,  returns type void
reset
function
function, defined in class uvm_reg_map,  returns type void
reset
function arg
arg type bit, defined in function uvm_mem_mam :: for_each
reset
function
function, defined in class svt_sequence_item_base_iter,  returns type void
reset
function
function, defined in class svt_sequence_item_base_queue_iter,  returns type void
reset
function
function, defined in class svt_timer,  returns type void
reset
function
function, defined in class svt_sequence_item_iter,  returns type void
reset
function
function, defined in class svt_fsm_callback,  returns type void
reset
function
function, defined in class svt_amba_pv_extension,  returns type void
reset_all
function
function, defined in class svt_fifo_rate_control,  returns type void
reset_all
function
function, defined in class svt_amba_fifo_rate_control,  returns type void
RESET_ALL_XACT
enum value
member of svt_chi_node_configuration :: reset_type_enum
reset_blk
task
defined in class uvm_reg_hw_reset_seq
reset_blk
task
defined in class uvm_reg_bit_bash_seq
reset_blk
task
defined in class uvm_mem_walk_seq
reset_blk
task
defined in class uvm_mem_access_seq
reset_blk
task
defined in class uvm_reg_access_seq
reset_blk
task
defined in class uvm_reg_mem_access_seq
reset_blk
task
defined in class uvm_reg_mem_shared_access_seq
reset_blk
task
defined in class uvm_reg_mem_hdl_paths_seq
reset_counters
function
function, defined in class svt_err_check_stats,  returns type void
reset_counts
function
function, defined in class uvm_heartbeat_callback,  returns type void
reset_curr_fill_level
function
function, defined in class svt_fifo_rate_control,  returns type void
reset_curr_fill_level
function
function, defined in class svt_amba_fifo_rate_control,  returns type void
reset_event
function
function, defined in class svt_event_pool,  returns type void
reset_exclusive_monitor_on_successful_exclusive_store
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
reset_no_exclusive_sequence_started
task
defined in class svt_chi_exclusive_monitor
reset_params
function
function, defined in class svt_amba_perf_calc_base,  returns type void
reset_phase
task
defined in class uvm_component
reset_quit_count
function
function, defined in class uvm_report_server,  returns type void
reset_received
attribute
attribute type event, defined in class svt_chi_status
reset_report_handler
function
function, defined in class uvm_report_object,  returns type void
reset_sema
function
function, defined in class svt_fifo_rate_control,  returns type void
reset_severity_counts
function
function, defined in class uvm_report_server,  returns type void
reset_transition_observed
attribute
attribute type bit, defined in class svt_chi_status
reset_type
attribute
attribute type svt_chi_node_configuration :: reset_type_enum, defined in class svt_chi_node_configuration
reset_type_enum
enum typedef
defined in class svt_chi_node_configuration
resetn
interface attribute
defined in interface svt_chi_rn_if,
resetn
interface attribute
defined in interface svt_chi_sn_if,
resetn
interface attribute
defined in interface svt_chi_ic_rn_if,
resetn
interface attribute
defined in interface svt_chi_ic_sn_if,
resetn
interface attribute
defined in interface svt_chi_if,
resolve_bindings
function
function, defined in class uvm_component,  returns type void
resolve_bindings
function
function, defined in class uvm_port_component,  returns type void
resolve_bindings
function
function, defined in class uvm_port_base,  returns type void
resolve_compare_kind
function
function, defined in class svt_sequence_item_base,  returns type int
resolve_is_valid_kind
function
function, defined in class svt_sequence_item_base,  returns type int
resolve_pack_kind
function
function, defined in class svt_sequence_item_base,  returns type int
resp
function arg
arg type svt_amba_pv :: resp_t, defined in function svt_amba_pv_extension :: set_resp
resp_err_status
attribute
attribute type svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_flit
resp_err_status_enum
enum typedef
defined in class svt_chi_common_transaction
resp_pass_dirty
attribute
attribute type rand bit, defined in class svt_chi_common_transaction
resp_status_accept_realtime
attribute
attribute type realtime, defined in class svt_chi_transaction
resp_t
enum typedef
defined in class svt_amba_pv
response
function arg
arg type uvm_sequence_item, defined in function uvm_sequence_base :: response_handler
response
function arg
arg type input uvm_sequence_item, defined in function uvm_sequence_base :: put_base_response
response
task arg
arg type output uvm_sequence_item, defined in task uvm_sequence_base :: get_base_response
response
task arg
arg type output RSP, defined in task uvm_tlm_transport_channel :: transport
response
task arg
arg type output RSP, defined in task uvm_sequence :: get_response
response
function arg
arg type uvm_sequence_item, defined in function svt_dispatch_sequence :: response_handler
response_ap
attribute
attribute type uvm_analysis_port, defined in class uvm_tlm_req_rsp_channel
response_cbusy
attribute
attribute type rand bit [(SVT_CHI_XACT_CBUSY_WIDTH-1):0], defined in class svt_chi_transaction
response_channel_transmission_policy_enum
enum typedef
defined in class svt_chi_common_transaction
response_fifo_size
function arg
arg type int, defined in function uvm_tlm_req_rsp_channel :: new
response_handler
function
function, defined in class uvm_sequence_base,  returns type void
response_handler
function
function, defined in class svt_dispatch_sequence,  returns type void
response_item
function arg
arg type uvm_sequence_item, defined in function uvm_sequence_base :: put_response
response_item
function arg
arg type uvm_sequence_item, defined in function uvm_sequence :: put_response
response_item
function arg
arg type uvm_sequence_item, defined in function uvm_reg_sequence :: put_response
response_queue
attribute
attribute type protected uvm_sequence_item, defined in class uvm_sequence_base
response_queue_depth
attribute
attribute type protected int, defined in class uvm_sequence_base
response_queue_error_report_disabled
attribute
attribute type protected bit, defined in class uvm_sequence_base
response_request_imp
attribute
attribute type uvm_blocking_get_imp, defined in class svt_chi_sn_protocol_monitor
response_request_imp
attribute
attribute type uvm_blocking_get_imp, defined in class svt_chi_interconnect
response_resp_err_status
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
response_resp_err_status
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_snoop_transaction
response_type
function arg
arg type input svt_chi_common_transaction :: resp_err_status_enum, defined in function svt_chi_transaction :: get_resperr_status
response_type
function arg
arg type input svt_chi_common_transaction :: resp_err_status_enum, defined in function svt_chi_snoop_transaction :: get_resperr_status
RESPSEPDATA
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
RESPSEPDATA_AFTER_DATASEPRESP
enum value
member of svt_chi_sn_transaction :: respsepdata_policy_enum
RESPSEPDATA_AFTER_DATASEPRESP
enum value
member of svt_chi_ic_snoop_transaction :: respsepdata_policy_enum
RESPSEPDATA_BEFORE_DATASEPRESP
enum value
member of svt_chi_sn_transaction :: respsepdata_policy_enum
RESPSEPDATA_BEFORE_DATASEPRESP
enum value
member of svt_chi_ic_snoop_transaction :: respsepdata_policy_enum
RESPSEPDATA_DURING_DATASEPRESP
enum value
member of svt_chi_sn_transaction :: respsepdata_policy_enum
RESPSEPDATA_DURING_DATASEPRESP
enum value
member of svt_chi_ic_snoop_transaction :: respsepdata_policy_enum
respsepdata_policy
attribute
attribute type rand svt_chi_sn_transaction :: respsepdata_policy_enum, defined in class svt_chi_sn_transaction
respsepdata_policy_enum
enum typedef
defined in class svt_chi_sn_transaction
respsepdata_policy_enum
enum typedef
defined in class svt_chi_ic_snoop_transaction
restart_dynamic_rate_timer
task
defined in class svt_amba_fifo_rate_control
restart_timer
function
function, defined in class svt_timer,  returns type void
restore_enable_last_disabled_checks
function
function, defined in class svt_err_check,  returns type int
restore_messaging
function
function, defined in class svt_debug_opts,  returns type void
restore_reporter
function arg
arg type uvm_report_object, defined in function svt_debug_opts :: restore_messaging
restore_verbosity
function arg
arg type int, defined in function svt_debug_opts :: restore_messaging
result
attribute
attribute type int unsigned, defined in class uvm_comparer
result
function arg
arg type uvm_object_wrapper, defined in function uvm_factory :: m_debug_display
resume
task
defined in class uvm_component
RESUME_ALL_LCRD
enum value
member of svt_chi_link_service :: service_type_enum
RESUME_DAT_LCRD
enum value
member of svt_chi_link_service :: service_type_enum
RESUME_LCRD_ACTIVE
enum value
member of svt_chi_link_status :: lcrd_suspend_resume_status_enum
RESUME_LCRD_COMPLETED
enum value
member of svt_chi_link_status :: lcrd_suspend_resume_status_enum
RESUME_REQ_LCRD
enum value
member of svt_chi_link_service :: service_type_enum
resume_response_for_first_xact
attribute
attribute type bit, defined in class svt_chi_ic_sn_suspend_response_sequence
resume_response_for_first_xact
attribute
attribute type bit, defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence
resume_response_for_first_xact
attribute
attribute type bit, defined in class svt_chi_ic_sn_read_data_interleave_response_sequence
resume_response_for_first_xact
attribute
attribute type bit, defined in class svt_chi_ic_sn_dvm_outstanding_suspend_response_resume_after_delay_sequence
resume_response_for_first_xact
attribute
attribute type bit, defined in class svt_chi_ic_sn_reordering_response_sequence
resume_response_for_outstanding_xact
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
RESUME_RSP_LCRD
enum value
member of svt_chi_link_service :: service_type_enum
RESUME_SNP_LCRD
enum value
member of svt_chi_link_service :: service_type_enum
resume_transaction
task
defined in class svt_chi_ic_sn_suspend_response_sequence
resume_transaction
task
defined in class svt_chi_ic_sn_suspend_response_resume_after_delay_sequence
resume_transaction
task
defined in class svt_chi_ic_sn_read_data_interleave_response_sequence
resume_transaction
task
defined in class svt_chi_ic_sn_reordering_response_sequence
RESUMED
enum value
member of svt_chi_common_transaction :: chi_suspend_resume_status_enum
ret_to_src
attribute
attribute type rand bit, defined in class svt_chi_common_transaction
retain_limit_check
function arg
arg type bit, defined in function svt_err_catcher :: remove_message_text_to_demote
retain_limit_check
function arg
arg type bit, defined in function svt_err_catcher :: remove_message_id_to_demote
retain_reservation
function arg
arg type bit, defined in function svt_axi_cache :: write
RETRY
enum value
member of svt_sequence_item :: status_enum
retry_or_cancel_transaction_event
attribute
attribute type event, defined in class svt_chi_scenario_coverage_database
retry_or_cancel_transaction_sequence
attribute
attribute type int, defined in class svt_chi_scenario_coverage_database
RETRYACK
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
retryack_to_pcreditgrant_flit_delay
attribute
attribute type rand int, defined in class svt_chi_transaction
return_nid
attribute
attribute type rand bit [(SVT_CHI_RETURN_NID_WIDTH-1):0], defined in class svt_chi_common_transaction
return_tags_if_available_when_read_req_tag_op_is_invalid
attribute
attribute type bit, defined in class svt_chi_node_configuration
return_tags_if_available_when_read_req_tag_op_is_invalid
attribute
attribute type bit, defined in class svt_chi_interconnect_configuration
return_txn_id
attribute
attribute type rand bit [(SVT_CHI_XACT_RETURN_TXN_ID_WIDTH-1):0], defined in class svt_chi_common_transaction
reverse_order
attribute
attribute type bit, defined in class uvm_packer
rg
function arg
arg type uvm_reg, defined in function uvm_reg_block :: add_reg
rg
function arg
arg type uvm_reg, defined in function uvm_reg_map :: add_reg
rg
function arg
arg type uvm_reg, defined in function uvm_reg_map :: m_set_reg_offset
rg
function arg
arg type uvm_reg, defined in function uvm_reg_map :: get_reg_map_info
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: write_reg
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: read_reg
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: poke_reg
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: peek_reg
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: update_reg
rg
task arg
arg type input uvm_reg, defined in task uvm_reg_sequence :: mirror_reg
rg
function arg
arg type uvm_reg, defined in function uvm_reg_read_only_cbs :: add
rg
function arg
arg type uvm_reg, defined in function uvm_reg_read_only_cbs :: remove
rg
function arg
arg type uvm_reg, defined in function uvm_reg_write_only_cbs :: add
rg
function arg
arg type uvm_reg, defined in function uvm_reg_write_only_cbs :: remove
rg
task arg
arg type uvm_vreg, defined in task uvm_vreg_cbs :: pre_write
rg
task arg
arg type uvm_vreg, defined in task uvm_vreg_cbs :: post_write
rg
task arg
arg type uvm_vreg, defined in task uvm_vreg_cbs :: pre_read
rg
task arg
arg type uvm_vreg, defined in task uvm_vreg_cbs :: post_read
rg
attribute
attribute type uvm_reg, defined in class uvm_reg_single_bit_bash_seq
rg
task arg
arg type uvm_reg, defined in task uvm_reg_single_bit_bash_seq :: bash_kth_bit
rg
attribute
attribute type uvm_reg, defined in class uvm_reg_single_access_seq
rg
attribute
attribute type uvm_reg, defined in class uvm_reg_shared_access_seq
rhs
function arg
arg type uvm_bitstream_t, defined in function uvm_comparer :: compare_field
rhs
function arg
arg type logic [63:0] , defined in function uvm_comparer :: compare_field_int
rhs
function arg
arg type real, defined in function uvm_comparer :: compare_field_real
rhs
function arg
arg type uvm_object, defined in function uvm_comparer :: compare_object
rhs
function arg
arg type string, defined in function uvm_comparer :: compare_string
rhs
function arg
arg type uvm_object, defined in function uvm_comparer :: print_rollup
rhs
function arg
arg type uvm_object, defined in function uvm_comparer :: print_msg_object
rhs
function arg
arg type uvm_object, defined in function uvm_object :: copy
rhs
function arg
arg type uvm_object, defined in function uvm_object :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_object :: compare
rhs
function arg
arg type uvm_object, defined in function uvm_object :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_objection :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_pool :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_barrier :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_event :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_queue :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_transaction :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_class_pair :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_class_pair :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_built_in_pair :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_built_in_pair :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_random_sequence :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_random_sequence :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_exhaustive_sequence :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_exhaustive_sequence :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_tlm_extension_base :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_tlm_generic_payload :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_tlm_generic_payload :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_reg_field :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_reg_field :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_vreg_field :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_vreg_field :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_reg :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_reg :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_reg_file :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_reg_file :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_vreg :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_vreg :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_reg_block :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_reg_block :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_mem :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_mem :: do_compare
rhs
function arg
arg type uvm_object, defined in function uvm_reg_item :: do_copy
rhs
function arg
arg type uvm_object, defined in function uvm_reg_map :: do_copy
rhs
function arg
arg type real, defined in function svt_fuzzy_real_comparer :: compare_field_real
rhs
function arg
arg type uvm_object, defined in function svt_sequence_item_base :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_sequence_item_base :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_configuration :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_exception :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_exception :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_exception_list :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_exception_list :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_sequence_item :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_sequence_item :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_8b10b_data :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_mem :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_mem :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_fifo_rate_control_configuration :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_fifo_rate_control :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_traffic_profile_transaction :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_traffic_profile_transaction :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_amba_addr_mapper :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_amba_fifo_rate_control_configuration :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_axi_cache :: do_copy
rhs
function arg
arg type uvm_object, defined in function svt_axi_cache :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_chi_address_configuration :: do_sub_obj_copy_create
rhs
function arg
arg type uvm_object, defined in function svt_chi_address_configuration :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_chi_protocol_service :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_chi_link_service :: do_compare
rhs
function arg
arg type uvm_object, defined in function svt_chi_system_monitor_system_data :: do_compare
rhs
function arg
arg type real, defined in function glboal :: svt_fuzzy_real_compare
rhs_data
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base :: auto_copy
rhs_data
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base :: auto_compare
rhs_pd
function arg
arg type svt_pattern_data, defined in function svt_sequence_item_base :: compare_pattern_data
rights
function arg
arg type string, defined in function uvm_reg_map :: add_reg
rights
function arg
arg type string, defined in function uvm_reg_map :: add_mem
rights
attribute
attribute type string, defined in class uvm_reg_map_info
rme_support
attribute
attribute type rand svt_chi_node_configuration :: rme_support_enum, defined in class svt_chi_node_configuration
rme_support_enum
enum typedef
defined in class svt_chi_node_configuration
RN
enum value
member of svt_chi_node_configuration :: chi_node_type_enum
rn
attribute
attribute type svt_chi_rn_agent, defined in class svt_chi_system_env
rn0_ec_barrier_sent
attribute
attribute type bit, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_ec_barrier_seq
attribute
attribute type chi_rn_barrier_directed_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_eo_barrier_sent
attribute
attribute type bit, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_eo_barrier_seq
attribute
attribute type chi_rn_barrier_directed_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_is_clean
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn0_is_unique
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn0_is_valid
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn0_normal_read_tran_seq
attribute
attribute type chi_rn_directed_noncoherent_xact_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_normal_write_tran_seq
attribute
attribute type chi_rn_directed_noncoherent_xact_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_pb_normal_read_tran_seq
attribute
attribute type chi_rn_directed_noncoherent_xact_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn0_pb_normal_write_tran_seq
attribute
attribute type chi_rn_directed_noncoherent_xact_sequence, defined in class chi_rn_barrier_directed_virtual_sequence
rn1_is_clean
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn1_is_unique
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn1_is_valid
attribute
attribute type bit, defined in class svt_chi_system_base_virtual_sequence
rn_cache
attribute
attribute type svt_axi_cache, defined in class svt_chi_snoop_transaction_base_sequence
rn_cache
attribute
attribute type svt_axi_cache, defined in class svt_chi_rn_agent
rn_cacheline_ini_xacts
attribute
attribute type static svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
rn_cfg
attribute
attribute type rand svt_chi_node_configuration, defined in class svt_chi_system_configuration
rn_cfg
attribute
attribute type svt_chi_node_configuration, defined in class svt_chi_snoop_transaction_base_sequence
rn_combined_writecmo_propagated_actual_slaves
attribute
attribute type int, defined in class svt_chi_system_transaction
rn_combined_writecmo_propagation_expected_slaves
attribute
attribute type int, defined in class svt_chi_system_transaction
rn_combined_writecmo_xact_propagated_to_slave_custom_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
rn_connected_node_cfg
attribute
attribute type rand svt_chi_node_configuration, defined in class svt_chi_interconnect_configuration
RN_D
enum value
member of svt_chi_node_configuration :: chi_interface_type_enum
rn_d_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_d_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
rn_d_nodes
attribute
attribute type int, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence
rn_end_addr
attribute
attribute type rand bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_coherent_virtual_sequence
RN_F
enum value
member of svt_chi_node_configuration :: chi_interface_type_enum
rn_f_excl_enabled_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_f_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_f_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
rn_f_nodes
attribute
attribute type int, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence
rn_f_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
rn_f_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container
rn_f_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container
rn_f_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container
rn_f_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container
rn_f_rn_idx_1
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container
rn_f_rn_idx_1
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container
rn_f_rn_idx_1
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container
rn_f_rn_idx_1
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container
rn_f_rn_idx_2
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_non_atomic_xacts_cov_container
rn_f_rn_idx_2
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_atomic_xacts_cov_container
rn_f_rn_idx_2
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container
rn_f_rn_idx_2
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_f_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container
RN_I
enum value
member of svt_chi_node_configuration :: chi_interface_type_enum
rn_i_excl_enabled_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_i_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_i_idx_q
attribute
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
rn_i_nodes
attribute
attribute type int, defined in class svt_chi_system_rn_coherent_transaction_base_virtual_sequence
rn_i_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_xacts_cov_container
rn_i_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_rn_f_xacts_with_chi_rn_i_atomic_xacts_cov_container
rn_i_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_coherent_exclusive_xacts_cov_container
rn_i_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_non_overlapping_coherent_exclusive_xacts_cov_container
rn_i_rn_idx
attribute
attribute type int, defined in class svt_chi_rn_f_port_chi_rn_i_port_pair_concurrent_overlapping_chi_e_xacts_cov_container
rn_id
function arg
arg type int, defined in function svt_chi_system_configuration :: is_valid_request_node_id
rn_id
function arg
arg type int, defined in function svt_chi_system_configuration :: get_domain_item_for_node
rn_id
function arg
arg type int, defined in function svt_chi_system_configuration :: get_request_node_indices_in_inner_domain
rn_id
function arg
arg type int, defined in function svt_chi_system_configuration :: get_request_node_indices_in_outer_domain
rn_id
function arg
arg type int, defined in function svt_chi_system_configuration :: get_rn_index
rn_id
function arg
arg type int, defined in function svt_chi_address_configuration :: get_domain_item_for_node
rn_id
function arg
arg type int, defined in function svt_chi_interconnect_configuration :: get_rn_connected_node_index
rn_idx
function arg
arg type int, defined in function svt_chi_system_configuration :: is_participating_rn
rn_idx
function arg
arg type int, defined in function svt_chi_address_configuration :: get_request_node_indices_in_inner_domain
rn_idx
function arg
arg type int, defined in function svt_chi_address_configuration :: get_request_node_indices_in_outer_domain
rn_if
attribute
attribute type svt_chi_rn_vif, defined in class svt_chi_node_configuration
rn_if
function arg
arg type svt_chi_rn_vif, defined in function svt_chi_node_configuration :: set_rn_if
rn_if
interface attribute
defined in interface svt_chi_rn_if,
rn_index
attribute
attribute type int unsigned, defined in class svt_chi_system_barrier_sequence
rn_index
task arg
arg type int, defined in task svt_chi_system_coherent_virtual_sequence :: send_coherent_transactions_from_rn
rn_index_list_for_sending_transaction
attribute
attribute type rand int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
rn_init_cachelines_status
attribute
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence
rn_initialize_cachelines
attribute
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence
rn_node_id
function arg
arg type int, defined in function svt_chi_system_configuration :: set_dvm_home_node_to_rn_map
rn_node_id
function arg
arg type int, defined in function svt_chi_system_configuration :: get_dvm_home_node_id_for_rn
rn_node_id
attribute
attribute type bit [(SVT_CHI_SRC_ID_WIDTH-1):0], defined in class svt_chi_system_transaction
rn_node_idx
attribute
attribute type int, defined in class svt_chi_system_transaction
rn_node_idx
attribute
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback
rn_node_idx
attribute
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
rn_rx_dat_flit_export
attribute
attribute type uvm_blocking_put_imp_rn_dat_flit, defined in class svt_chi_interconnect
rn_rx_req_flit_export
attribute
attribute type uvm_blocking_put_imp_rn_req_flit, defined in class svt_chi_interconnect
rn_rx_rsp_flit_export
attribute
attribute type uvm_blocking_put_imp_rn_rsp_flit, defined in class svt_chi_interconnect
rn_sam_specified_with_exp_tgt_id_remap_at_icn_enabled
attribute
attribute type bit, defined in class svt_chi_system_configuration
rn_slave_byte_count_matched
attribute
attribute type bit, defined in class svt_chi_system_transaction
rn_snp_xact_seqr
attribute
attribute type svt_chi_rn_snoop_transaction_sequencer, defined in class svt_chi_rn_virtual_sequencer
rn_snp_xact_seqr
attribute
attribute type svt_chi_rn_snoop_transaction_sequencer, defined in class svt_chi_rn_agent
rn_start_addr
attribute
attribute type rand bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_coherent_virtual_sequence
rn_sys_transaction_processed_by_interconnect
function
function, defined in class svt_chi_system_monitor_callback,  returns type void
rn_sys_transaction_processed_by_interconnect
function
function, defined in class svt_chi_system_monitor_def_cov_callback,  returns type void
rn_sys_transaction_processed_by_interconnect
function
function, defined in class svt_chi_system_monitor_issue_e_def_cov_callback,  returns type void
rn_sys_transaction_processed_by_interconnect
function
function, defined in class svt_chi_system_monitor_def_cov_data_callback,  returns type void
rn_to_hn_data_bw
attribute
attribute type real, defined in class svt_chi_hn_status
rn_to_sn_pair_id
attribute
attribute type protected int, defined in class svt_chi_system_monitor_def_cov_data_callback
rn_vif
attribute
attribute type virtual svt_chi_rn_if, defined in class svt_chi_link_service_base_sequence
rn_virt_seqr
attribute
attribute type svt_chi_rn_virtual_sequencer, defined in class svt_chi_rn_transaction_base_sequence
rn_virt_seqr
attribute
attribute type svt_chi_rn_virtual_sequencer, defined in class svt_chi_snoop_transaction_base_sequence
rn_virt_seqr
attribute
attribute type svt_chi_rn_virtual_sequencer, defined in class svt_chi_protocol_service_base_sequence
rn_virt_seqr
attribute
attribute type svt_chi_rn_virtual_sequencer, defined in class svt_chi_link_service_base_sequence
rn_virt_seqr
attribute
attribute type svt_chi_rn_virtual_sequencer, defined in class svt_chi_system_virtual_sequencer
rn_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction
rn_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data
rn_xact
attribute
attribute type svt_chi_rn_transaction, defined in class svt_chi_system_cacheline_initialization_virtual_sequence
rn_xact
task arg
arg type output svt_chi_rn_transaction, defined in task svt_chi_rn_coherent_transaction_base_sequence :: pre_rn_f_base_seq_item_randomize
rn_xact
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_coherent_transaction_base_sequence :: randomize_xact
rn_xact
task arg
arg type svt_chi_rn_transaction, defined in task svt_chi_rn_go_noncoherent_sequence :: randomize_xact
rn_xact
task arg
arg type svt_chi_rn_transaction, defined in task chi_rn_noncoherent_transaction_base_sequence :: randomize_xact
rn_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_system_monitor_callback :: post_slave_xact_to_rn_xact_correlation
rn_xact
function arg
arg type svt_chi_transaction, defined in function svt_chi_system_monitor_transaction_xml_callback :: post_slave_xact_to_rn_xact_correlation
rn_xact_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_l1_icn_to_l2_icn_rn_transaction_activity_callback_data
rn_xact_queue
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_monitor_l2_icn_to_l1_icn_snoop_transaction_activity_callback_data
rn_xact_seqr
attribute
attribute type svt_chi_rn_transaction_sequencer, defined in class svt_chi_rn_virtual_sequencer
rn_xact_seqr
attribute
attribute type svt_chi_rn_transaction_sequencer, defined in class svt_chi_rn_agent
rn_xact_type
function arg
arg type svt_chi_common_transaction :: xact_type_enum, defined in function svt_chi_rn_coherent_transaction_base_sequence :: assign_xact_weights
rn_xact_type
task arg
arg type svt_chi_common_transaction :: xact_type_enum, defined in task svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence :: send_coherent_transactions
rn_xact_type
task arg
arg type svt_chi_common_transaction :: xact_type_enum, defined in task svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence :: send_directed_coherent_transactions
root
function arg
arg type uvm_component, defined in function uvm_callbacks :: add_by_name
root
function arg
arg type uvm_component, defined in function uvm_callbacks :: delete_by_name
root
function arg
arg type input uvm_reg_block, defined in function uvm_reg_block :: find_blocks
root
function arg
arg type input uvm_reg_block, defined in function uvm_reg_block :: find_block
ROUND_ROBIN
enum value
member of svt_chi_node_configuration :: chi_reordering_algorithm_enum
round_up
function arg
arg type bit, defined in function svt_sequence_item_base :: log_base_2
row
function arg
arg type uvm_printer_row_info, defined in function uvm_printer :: format_row
rpterr
function arg
arg type bit, defined in function uvm_resource_pool :: lookup_name
rpterr
function arg
arg type bit, defined in function uvm_resource_pool :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_resource :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_int_rsrc :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_string_rsrc :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_obj_rsrc :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_bit_rsrc :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_byte_rsrc :: get_by_name
rpterr
function arg
arg type bit, defined in function uvm_resource_db :: get_by_name
rq
function arg
arg type uvm_queue, defined in function uvm_resource_pool :: print_resources
rsp
function arg
arg type output T2, defined in function uvm_tlm_if_base :: nb_transport
rsp
task arg
arg type output T2, defined in task uvm_tlm_if_base :: transport
rsp
task arg
arg type output RSP, defined in task uvm_blocking_transport_imp :: transport
rsp
function arg
arg type output RSP, defined in function uvm_nonblocking_transport_imp :: nb_transport
rsp
function arg
arg type output RSP, defined in function uvm_transport_imp :: nb_transport
rsp
task arg
arg type output RSP, defined in task uvm_transport_imp :: transport
rsp
task arg
arg type output RSP, defined in task uvm_blocking_transport_port :: transport
rsp
function arg
arg type output RSP, defined in function uvm_nonblocking_transport_port :: nb_transport
rsp
function arg
arg type output RSP, defined in function uvm_transport_port :: nb_transport
rsp
task arg
arg type output RSP, defined in task uvm_transport_port :: transport
rsp
task arg
arg type output RSP, defined in task uvm_blocking_transport_export :: transport
rsp
function arg
arg type output RSP, defined in function uvm_nonblocking_transport_export :: nb_transport
rsp
function arg
arg type output RSP, defined in function uvm_transport_export :: nb_transport
rsp
task arg
arg type output RSP, defined in task uvm_transport_export :: transport
rsp
function arg
arg type output RSP, defined in function uvm_tlm_transport_channel :: nb_transport
rsp
attribute
attribute type RSP, defined in class uvm_driver
rsp
attribute
attribute type RSP, defined in class uvm_push_driver
rsp
attribute
attribute type RSP, defined in class uvm_sequence
rsp
task arg
arg type input RSP, defined in task svt_reactive_sequencer :: send_rsp
rsp
function arg
arg type RSP, defined in function svt_reactive_sequence :: pre_rsp
rsp
task arg
arg type input RSP, defined in task svt_reactive_sequence :: send_rsp
RSP
enum value
member of svt_chi_common_transaction :: flit_type_enum
rsp_ap
attribute
attribute type svt_debug_opts_analysis_port, defined in class svt_reactive_sequencer
rsp_export
attribute
attribute type uvm_analysis_export, defined in class uvm_sequencer_param_base
rsp_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_tx_rsp_flit
rsp_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_rn_protocol_monitor :: write_rx_rsp_flit
rsp_flit
function arg
arg type svt_chi_flit, defined in function svt_chi_sn_protocol_monitor :: write_sn_tx_rsp_flit
rsp_flit
task arg
arg type svt_chi_flit, defined in task svt_chi_interconnect :: put_rn_rsp_flit
rsp_flit
task arg
arg type svt_chi_flit, defined in task svt_chi_interconnect :: put_sn_rsp_flit
RSP_FLIT_AND_LCRD_AVAILABLE_AT_LINK_LAYER
enum value
member of svt_chi_common_transaction :: reference_event_for_txrspflitpend_delay_enum
RSP_FLIT_AND_LCRD_AVAILABLE_AT_LINK_LAYER
enum value
member of svt_chi_snoop_transaction :: reference_event_for_tx_datapull_compack_flitpend_delay_enum
rsp_flit_comp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_compack_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_compcmo_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_compdbidresp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_comppersist_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_compstashdone_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_dbid_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
rsp_flit_dbidresp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_dbidrespord_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_lcrdreturn_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_pcrdgrant_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_persist_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_readreceipt_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_reordering_algorithm
attribute
attribute type rand svt_chi_node_configuration :: chi_reordering_algorithm_enum, defined in class svt_chi_node_configuration
rsp_flit_reordering_depth
attribute
attribute type rand int unsigned, defined in class svt_chi_node_configuration
rsp_flit_respsepdata_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_retryack_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_snpresp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_snprespfwded_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_stashdone_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_flit_tagmatch_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_blocking_master_imp :: new
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_nonblocking_master_imp :: new
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_master_imp :: new
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_blocking_slave_imp :: new
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_nonblocking_slave_imp :: new
rsp_imp
function arg
arg type this_rsp_type, defined in function uvm_slave_imp :: new
rsp_lcrd_suspend_resume_status
attribute
attribute type svt_chi_link_status :: lcrd_suspend_resume_status_enum, defined in class svt_chi_link_status
RSP_MSG_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO_DBIDRESP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO_DBIDRESP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO_DBIDRESPORD
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO_DBIDRESPORD_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESP_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESP_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESP_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESPORD_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESPORD_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESPORD_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_DBIDRESPORD_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMP_STASHDONE
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP_DBIDRESP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP_DBIDRESP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP_DBIDRESPORD
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP_DBIDRESPORD_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMPDBIDRESP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_COMPDBIDRESP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESP_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESP_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESP_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESPORD_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESPORD_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_DBIDRESPORD_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPCMO_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDATA
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDBIDRESP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDBIDRESP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDBIDRESP_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDBIDRESP_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPDBIDRESP_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPPERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_COMPSTASHDONE
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMP_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMP_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMP_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMPCMO_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMPCMO_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_COMPPERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_PERSIST_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESP_PERSIST_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMP_COMPCMO_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMP_COMPPERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMP_PERSIST_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMPCMO_COMP_PERSIST
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMPCMO_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_COMPPERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_PERSIST_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_DBIDRESPORD_PERSIST_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_NOT_PROGRAMMED
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_PERSIST_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_PERSIST_COMP_COMPCMO
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_PERSIST_COMPCMO_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_RETRYACK
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
RSP_MSG_STASHDONE_COMP
enum value
member of svt_chi_sn_transaction :: xact_rsp_msg_type_enum
rsp_msg_type_enum
enum typedef
defined in class svt_chi_common_transaction
rsp_port
attribute
attribute type uvm_analysis_port, defined in class uvm_driver
rsp_port
attribute
attribute type uvm_analysis_port, defined in class uvm_push_driver
rsp_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
rsp_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_snoop_transaction
rsp_vc_flit_opcode
attribute
attribute type rand svt_chi_common_transaction :: rsp_msg_type_enum, defined in class svt_chi_flit
rsp_vc_idle_val
attribute
attribute type rand svt_chi_node_configuration :: idle_val_enum, defined in class svt_chi_node_configuration
RSPFLITV_FOR_PCREDITGRANT_TO_RETRYACK_VALID
enum value
member of svt_chi_transaction :: reference_event_for_pcreditgrant_to_retryack_flit_delay_enum
RSPFLITV_FOR_RETRYACK_TO_PCREDITGRANT_VALID
enum value
member of svt_chi_transaction :: reference_event_for_retryack_to_pcreditgrant_flit_delay_enum
RSPLINKFLIT
enum value
member of svt_chi_common_transaction :: rsp_msg_type_enum
rsrc
attribute
attribute type uvm_resource_base, defined in class get_t
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_override
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_name_override
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_type_override
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: push_get_record
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_priority_type
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_priority_name
rsrc
function arg
arg type uvm_resource_base, defined in function uvm_resource_pool :: set_priority
rsrc
function arg
arg type input uvm_resource, defined in function uvm_resource_db :: m_show_msg
rsrc_q_t
class typedef
defined in class uvm_resource_types
rsrc_t
class typedef
defined in class uvm_resource_db
RSVD_COMPLETER_TYPE
enum value
member of svt_chi_common_transaction :: data_source_completer_type_enum
rtab
attribute
attribute type uvm_queue, defined in class uvm_resource_pool
rtype
function arg
arg type input string, defined in function uvm_resource_db :: m_show_msg
run
task
defined in class uvm_component
run
task
defined in class svt_fsm
run_hooks
function
function, defined in class uvm_report_handler,  returns type bit
run_ph
attribute
attribute type uvm_phase, defined in global
run_phase
task
defined in class uvm_component
run_phase
task
defined in class uvm_root
run_phase
task
defined in class uvm_sequencer_base
run_phase
task
defined in class uvm_in_order_comparator
run_phase
task
defined in class uvm_push_sequencer
run_phase
task
defined in class svt_component
run_phase
task
defined in class svt_driver
run_phase
task
defined in class svt_monitor
run_phase
task
defined in class svt_sequencer
run_phase
task
defined in class svt_agent
run_phase
task
defined in class svt_env
run_phase
task
defined in class svt_traffic_arbiter
run_phase
task
defined in class svt_chi_rn_protocol
run_phase
task
defined in class svt_chi_node_protocol_monitor
run_phase
task
defined in class svt_chi_rn_protocol_monitor
run_phase
task
defined in class svt_chi_rn_link
run_phase
task
defined in class svt_chi_rn_link_monitor
run_phase
task
defined in class svt_chi_sn_link
run_phase
task
defined in class svt_chi_ic_rn_link
run_phase
task
defined in class svt_chi_rn_agent
run_phase
task
defined in class svt_chi_ic_rn_agent
run_phase
task
defined in class svt_chi_sn_protocol
run_phase
task
defined in class svt_chi_sn_protocol_monitor
run_phase
task
defined in class svt_chi_sn_link_monitor
run_phase
task
defined in class svt_chi_ic_sn_link
run_phase
task
defined in class svt_chi_sn_agent
run_phase
task
defined in class svt_chi_ic_sn_agent
run_phase
task
defined in class svt_chi_system_monitor
run_phase
task
defined in class svt_chi_interconnect
run_phase
task
defined in class svt_chi_interconnect_env
run_test
task
defined in class uvm_root
run_test
task
defined in global
running_disparity
function arg
arg type ref bit, defined in function svt_data_converter :: encode_8b10b_data
running_disparity
function arg
arg type ref bit, defined in function svt_data_converter :: decode_8b10b_data
running_disparity
function arg
arg type ref bit, defined in function svt_sequence_item :: encode_8b10b_data
running_disparity
function arg
arg type ref bit, defined in function svt_sequence_item :: decode_8b10b_data
runtime_trace_recording
function arg
arg type bit, defined in function svt_sequence_item_report :: record_xact
rw
function arg
arg type input uvm_reg_item, defined in function uvm_reg_field :: Xcheck_accessX
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_field :: do_predict
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: do_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: do_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_field :: post_read
rw
function arg
arg type input uvm_reg_item, defined in function uvm_reg :: Xcheck_accessX
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg :: do_predict
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg :: backdoor_read_func
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: do_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: do_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: backdoor_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: backdoor_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg :: post_read
rw
function arg
arg type input uvm_reg_item, defined in function uvm_mem :: Xcheck_accessX
rw
function arg
arg type uvm_reg_item, defined in function uvm_mem :: backdoor_read_func
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: do_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: do_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: backdoor_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: backdoor_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_mem :: post_read
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_map :: Xget_bus_infoX
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_map :: do_bus_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_map :: do_bus_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_map :: do_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_map :: do_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_sequence :: do_reg_item
rw
function arg
arg type const ref uvm_reg_bus_op, defined in function uvm_reg_adapter :: reg2bus
rw
function arg
arg type ref uvm_reg_bus_op, defined in function uvm_reg_adapter :: bus2reg
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_indirect_data :: do_predict
rw
function arg
arg type const ref uvm_reg_bus_op, defined in function uvm_reg_tlm_adapter :: reg2bus
rw
function arg
arg type ref uvm_reg_bus_op, defined in function uvm_reg_tlm_adapter :: bus2reg
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_predictor :: pre_predict
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_backdoor :: read_func
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: do_pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: do_post_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: do_pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: do_post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: post_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_backdoor :: post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_cbs :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_cbs :: post_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_cbs :: pre_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_cbs :: post_read
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_read_only_cbs :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_write_only_cbs :: pre_read
rw
function arg
arg type uvm_reg_item, defined in function uvm_reg_fifo :: do_predict
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_fifo :: pre_write
rw
task arg
arg type uvm_reg_item, defined in task uvm_reg_fifo :: pre_read
rw
function arg
arg type const ref uvm_reg_bus_op, defined in function svt_chi_reg_adapter :: reg2bus
rw
function arg
arg type ref uvm_reg_bus_op, defined in function svt_chi_reg_adapter :: bus2reg
rw_info
attribute
attribute type uvm_reg_item, defined in class uvm_reg_frontdoor
rx_ccf_wrap_order_enable
attribute
attribute type rand svt_chi_node_configuration :: ccf_wrap_order_enum, defined in class svt_chi_node_configuration
rx_dat_flit_data_id_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
rx_dat_flit_export
attribute
attribute type uvm_blocking_put_imp_rx_dat_flit, defined in class svt_chi_rn_protocol
rx_dat_flit_export
attribute
attribute type uvm_analysis_imp_rx_dat_flit, defined in class svt_chi_rn_protocol_monitor
rx_dat_flit_export
attribute
attribute type uvm_blocking_put_imp_sn_rx_dat_flit, defined in class svt_chi_sn_protocol
rx_dat_flit_export
attribute
attribute type uvm_analysis_imp_sn_rx_dat_flit, defined in class svt_chi_sn_protocol_monitor
rx_dat_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_rn_link
rx_dat_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_sn_link
rx_dat_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_ic_rn_link
rx_dat_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_ic_sn_link
rx_dat_obj_num
interface attribute
defined in interface svt_chi_rn_if,
rx_dat_obj_num
interface attribute
defined in interface svt_chi_sn_if,
rx_dat_obj_num
interface attribute
defined in interface svt_chi_ic_rn_if,
rx_dat_obj_num
interface attribute
defined in interface svt_chi_ic_sn_if,
rx_dat_observed_port
attribute
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor
rx_dat_observed_port
attribute
attribute type uvm_analysis_port, defined in class svt_chi_sn_link_monitor
RX_DAT_VC_ADVERTISED_CURR_L_CREDIT_COUNT
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_dat_vc_flit_buffer_size
attribute
attribute type rand bit [SVT_CHI_MAX_RXDAT_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
RX_DAT_VC_L_CREDIT_TRANSMITTED_NEXT_CYCLE_FLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_DAT_VC_L_CREDIT_TRANSMITTED_SAME_CYCLE_FLITPEND_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_DAT_VC_LCREDIT_TRANSMITTED_DURING_RX_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_DAT_VC_sample_event
attribute
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks
RX_DAT_VC_sample_event
attribute
attribute type event, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks
RX_DATLINKFLIT_SEEN_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_illegal_state_transition
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rx_link_activation_timeout
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rx_link_active_request_timeout_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rx_link_deactivation_timeout
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rx_link_deactive_request_timeout_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rx_link_not_active_during_flit_reception
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rx_no_lcredit_issued_for_flit_type
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
rx_no_lcredit_sent_for_flit_transfer
attribute
attribute type svt_err_check_stats, defined in class svt_chi_link_err_check
RX_OBSERVED_DAT_FLIT_DURING_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_OBSERVED_RSP_FLIT_DURING_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_OBSERVED_SNP_FLIT_DURING_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_req_flit_export
attribute
attribute type uvm_blocking_put_imp_sn_rx_req_flit, defined in class svt_chi_sn_protocol
rx_req_flit_export
attribute
attribute type uvm_analysis_imp_sn_rx_req_flit, defined in class svt_chi_sn_protocol_monitor
rx_req_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_sn_link
rx_req_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_ic_rn_link
rx_req_observed_port
attribute
attribute type uvm_analysis_port, defined in class svt_chi_sn_link_monitor
rx_req_vc_flit_buffer_size
attribute
attribute type rand bit [SVT_CHI_MAX_REQ_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
RX_REQ_VC_sample_event
attribute
attribute type event, defined in class svt_chi_sn_link_monitor_def_state_cov_data_callbacks
rx_rsp_flit_end_time_arr
function arg
arg type output real, defined in function svt_chi_common_transaction :: get_rx_rsp_timing_info
rx_rsp_flit_export
attribute
attribute type uvm_blocking_put_imp_rx_rsp_flit, defined in class svt_chi_rn_protocol
rx_rsp_flit_export
attribute
attribute type uvm_analysis_imp_rx_rsp_flit, defined in class svt_chi_rn_protocol_monitor
rx_rsp_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_rn_link
rx_rsp_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_ic_rn_link
rx_rsp_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_ic_sn_link
rx_rsp_msg_arr
function arg
arg type output svt_chi_common_transaction :: rsp_msg_type_enum, defined in function svt_chi_common_transaction :: get_rx_rsp_timing_info
rx_rsp_msg_type
function arg
arg type input svt_chi_common_transaction :: rsp_msg_type_enum, defined in function svt_chi_common_transaction :: get_rx_rsp_timing_info
rx_rsp_obj_num
interface attribute
defined in interface svt_chi_rn_if,
rx_rsp_obj_num
interface attribute
defined in interface svt_chi_ic_rn_if,
rx_rsp_obj_num
interface attribute
defined in interface svt_chi_ic_sn_if,
rx_rsp_observed_port
attribute
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor
RX_RSP_VC_ADVERTISED_CURR_L_CREDIT_COUNT
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_rsp_vc_flit_buffer_size
attribute
attribute type rand bit [SVT_CHI_MAX_RXRSP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
RX_RSP_VC_L_CREDIT_TRANSMITTED_NEXT_CYCLE_FLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_RSP_VC_L_CREDIT_TRANSMITTED_SAME_CYCLE_FLITPEND_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_RSP_VC_LCREDIT_TRANSMITTED_DURING_RX_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_RSP_VC_sample_event
attribute
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks
RX_RSPLINKFLIT_SEEN_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_snp_flit_export
attribute
attribute type uvm_blocking_put_imp_rx_snp_flit, defined in class svt_chi_rn_protocol
rx_snp_flit_export
attribute
attribute type uvm_analysis_imp_rx_snp_flit, defined in class svt_chi_rn_protocol_monitor
rx_snp_flit_out_port
attribute
attribute type uvm_blocking_put_port, defined in class svt_chi_rn_link
rx_snp_observed_port
attribute
attribute type uvm_analysis_port, defined in class svt_chi_rn_link_monitor
rx_snp_vc_flit_buffer_size
attribute
attribute type rand bit [SVT_CHI_MAX_RXSNP_CHANNELS-1:0][SVT_CHI_INT_TYPE_WIDTH-1:0], defined in class svt_chi_node_configuration
RX_SNP_VC_LCREDIT_TRANSMITTED_DURING_RX_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_SNP_VC_sample_event
attribute
attribute type event, defined in class svt_chi_rn_link_monitor_def_state_cov_data_callbacks
RX_SNPLINKFLIT_SEEN_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_TRANSMITTED_DAT_L_CREDITS_DURING_ACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_TRANSMITTED_RSP_L_CREDITS_DURING_ACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RX_TRANSMITTED_SNP_L_CREDITS_DURING_ACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
rx_vc_credit_transmission_timeout
attribute
attribute type rand int, defined in class svt_chi_node_configuration
RXDAT_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_LCRD_TO_NEXT_RXDAT_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_PROTOCOL_FLIT_OBSERVED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_RETURN_LCRD_TO_NEXT_RXDAT_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDAT_VC_NUM_RETURN_LCREDITS_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXDATFLIT
interface attribute
defined in interface svt_chi_rn_if,
RXDATFLIT
interface attribute
defined in interface svt_chi_sn_if,
RXDATFLIT
interface attribute
defined in interface svt_chi_ic_rn_if,
RXDATFLIT
interface attribute
defined in interface svt_chi_ic_sn_if,
RXDATFLIT_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXDATFLIT_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXDATFLITPEND
interface attribute
defined in interface svt_chi_rn_if,
RXDATFLITPEND
interface attribute
defined in interface svt_chi_sn_if,
RXDATFLITPEND
interface attribute
defined in interface svt_chi_ic_rn_if,
RXDATFLITPEND
interface attribute
defined in interface svt_chi_ic_sn_if,
RXDATFLITPEND_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXDATFLITPEND_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXDATFLITV
interface attribute
defined in interface svt_chi_rn_if,
RXDATFLITV
interface attribute
defined in interface svt_chi_sn_if,
RXDATFLITV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXDATFLITV
interface attribute
defined in interface svt_chi_ic_sn_if,
RXDATFLITV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXDATFLITV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXDATLCRDV
interface attribute
defined in interface svt_chi_rn_if,
RXDATLCRDV
interface attribute
defined in interface svt_chi_sn_if,
RXDATLCRDV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXDATLCRDV
interface attribute
defined in interface svt_chi_ic_sn_if,
RXDATLCRDV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXDATLCRDV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
rxla_ack_assertion_max_delay
attribute
attribute type int, defined in class svt_chi_node_configuration
rxla_ack_assertion_min_delay
attribute
attribute type int, defined in class svt_chi_node_configuration
RXLA_ACK_ASSERTION_TO_RXSNP_FLITV_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
rxla_ack_assertion_when_tx_in_activate_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_assertion_when_tx_in_deactivate_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_assertion_when_tx_in_run_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_assertion_when_tx_in_stop_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_max_delay
attribute
attribute type int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_min_delay
attribute
attribute type int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_when_tx_in_activate_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_when_tx_in_deactivate_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_when_tx_in_run_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
rxla_ack_deassertion_when_tx_in_stop_state_delay
attribute
attribute type rand int, defined in class svt_chi_node_configuration
RXLA_ACTIVATE_STATE
enum value
member of svt_chi_link_status :: rxla_state_enum
rxla_activate_state
attribute
attribute type svt_fsm_state_base, defined in class svt_chi_link_rxla_fsm
RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: rxla_state_enum
rxla_deactivate_state
attribute
attribute type svt_fsm_state_base, defined in class svt_chi_link_rxla_fsm
RXLA_REQ_DEASSERTION_TO_RXDAT_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLA_REQ_DEASSERTION_TO_RXRSP_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLA_REQ_DEASSERTION_TO_RXSNP_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLA_RUN_STATE
enum value
member of svt_chi_link_status :: rxla_state_enum
rxla_run_state
attribute
attribute type svt_fsm_state_base, defined in class svt_chi_link_rxla_fsm
rxla_run_state_time
attribute
attribute type realtime, defined in class svt_chi_status
rxla_state
function arg
arg type svt_chi_link_common :: rxla_state_enum, defined in function svt_chi_link_rxla_fsm :: rxla_state_to_fsm_state
rxla_state_enum
enum typedef
defined in class svt_chi_link_status
rxla_state_to_fsm_state
function
function, defined in class svt_chi_link_rxla_fsm,  returns type svt_fsm_state_base
RXLA_STOP_STATE
enum value
member of svt_chi_link_status :: rxla_state_enum
rxla_stop_state
attribute
attribute type svt_fsm_state_base, defined in class svt_chi_link_rxla_fsm
RXLINKACTIVEACK
interface attribute
defined in interface svt_chi_rn_if,
RXLINKACTIVEACK
interface attribute
defined in interface svt_chi_sn_if,
RXLINKACTIVEACK
interface attribute
defined in interface svt_chi_ic_rn_if,
RXLINKACTIVEACK
interface attribute
defined in interface svt_chi_ic_sn_if,
RXLINKACTIVEACK_ASSERTED_SAME_CYCLE_RXDATLCRDV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_ASSERTED_SAME_CYCLE_RXRSPLCRDV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_ASSERTED_SAME_CYCLE_RXSNPLCRDV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_ASSERTION_TO_RXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_ASSERTION_TO_TXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_DEASSERTION_TO_RXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_DEASSERTION_TO_TXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEACK_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXLINKACTIVEACK_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXLINKACTIVEREQ
interface attribute
defined in interface svt_chi_rn_if,
RXLINKACTIVEREQ
interface attribute
defined in interface svt_chi_sn_if,
RXLINKACTIVEREQ
interface attribute
defined in interface svt_chi_ic_rn_if,
RXLINKACTIVEREQ
interface attribute
defined in interface svt_chi_ic_sn_if,
RXLINKACTIVEREQ_ASSERTION_FOLLOWED_BY_RXSACTIVE_ASSERTION
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_ASSERTION_TO_RXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_ASSERTION_TO_TXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_RXDATFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_RXRSPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_DEASSERTED_SAME_CYCLE_RXSNPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_DEASSERTION_TO_RXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_DEASSERTION_TO_TXSACTIVE_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXLINKACTIVEREQ_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXLINKACTIVEREQ_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXREQFLIT
interface attribute
defined in interface svt_chi_sn_if,
RXREQFLIT
interface attribute
defined in interface svt_chi_ic_rn_if,
RXREQFLIT_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXREQFLITPEND
interface attribute
defined in interface svt_chi_sn_if,
RXREQFLITPEND
interface attribute
defined in interface svt_chi_ic_rn_if,
RXREQFLITPEND_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXREQFLITV
interface attribute
defined in interface svt_chi_sn_if,
RXREQFLITV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXREQFLITV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXREQLCRDV
interface attribute
defined in interface svt_chi_sn_if,
RXREQLCRDV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXREQLCRDV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXRSP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_LCRD_TO_NEXT_RXRSP_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_BACK2BACK_CYCLES_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_NO_L_CREDIT_AVAILABLE_FLITPEND_ASSERTED_WITHOUT_FLITV
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_PROTOCOL_FLIT_OBSERVED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_RETURN_LCRD_TO_NEXT_RXRSP_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSP_VC_NUM_RETURN_LCREDITS_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXRSPFLIT
interface attribute
defined in interface svt_chi_rn_if,
RXRSPFLIT
interface attribute
defined in interface svt_chi_ic_rn_if,
RXRSPFLIT
interface attribute
defined in interface svt_chi_ic_sn_if,
RXRSPFLIT_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXRSPFLITPEND
interface attribute
defined in interface svt_chi_rn_if,
RXRSPFLITPEND
interface attribute
defined in interface svt_chi_ic_rn_if,
RXRSPFLITPEND
interface attribute
defined in interface svt_chi_ic_sn_if,
RXRSPFLITPEND_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXRSPFLITV
interface attribute
defined in interface svt_chi_rn_if,
RXRSPFLITV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXRSPFLITV
interface attribute
defined in interface svt_chi_ic_sn_if,
RXRSPFLITV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXRSPLCRDV
interface attribute
defined in interface svt_chi_rn_if,
RXRSPLCRDV
interface attribute
defined in interface svt_chi_ic_rn_if,
RXRSPLCRDV
interface attribute
defined in interface svt_chi_ic_sn_if,
RXRSPLCRDV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
rxsactive
attribute
attribute type bit, defined in class svt_chi_status
RXSACTIVE
interface attribute
defined in interface svt_chi_rn_if,
RXSACTIVE
interface attribute
defined in interface svt_chi_sn_if,
RXSACTIVE
interface attribute
defined in interface svt_chi_ic_rn_if,
RXSACTIVE
interface attribute
defined in interface svt_chi_ic_sn_if,
RXSACTIVE_ASSERTED_NEXT_CYCLE_RXDATFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_NEXT_CYCLE_RXRSPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_NEXT_CYCLE_SNPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_SAME_CYCLE_RXDATFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_SAME_CYCLE_RXLINKACTIVEREQ_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_SAME_CYCLE_RXRSPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTED_SAME_CYCLE_SNPFLITV_ASSERTED
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_FOLLOWED_BY_RXLINKACTIVEREQ_ASSERTION
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_RXLINKACTIVEACK_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_RXLINKACTIVEACK_DEASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_RXLINKACTIVEREQ_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_RXLINKACTIVEREQ_DEASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_TXLINKACTIVEACK_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_TXLINKACTIVEACK_DEASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_TXLINKACTIVEREQ_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_ASSERTION_TO_TXLINKACTIVEREQ_DEASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_DEASSERTED_WHILE_RECEIVING_DAT_LINK_FLIT
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_DEASSERTED_WHILE_RECEIVING_RSP_LINK_FLIT
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_DEASSERTED_WHILE_RECEIVING_SNP_LINK_FLIT
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSACTIVE_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXSACTIVE_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_sn_link_monitor_def_toggle_cov_data_callbacks
RXSNP_FLITV_OBSERVED_IN_TXLA_ACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_FLITV_OBSERVED_IN_TXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_FLITV_OBSERVED_IN_TXLA_STOP_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_FLITV_TO_RXLA_REQ_DEASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_LCRD_TO_NEXT_RXSNP_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_LCRDV_TO_RXSNP_FLITV_ASSERTION_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_RETURN_LCRD_TO_NEXT_RXSNP_RETURN_LCRD_DELAY
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNP_VC_NUM_RETURN_LCREDITS_IN_RXLA_DEACTIVATE_STATE
enum value
member of svt_chi_link_status :: link_activity_type_enum
RXSNPFLIT
interface attribute
defined in interface svt_chi_rn_if,
RXSNPFLIT_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXSNPFLITPEND
interface attribute
defined in interface svt_chi_rn_if,
RXSNPFLITPEND_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXSNPFLITV
interface attribute
defined in interface svt_chi_rn_if,
RXSNPFLITV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks
RXSNPLCRDV
interface attribute
defined in interface svt_chi_rn_if,
RXSNPLCRDV_toggle_cov
attribute
attribute type svt_toggle_cov_bit, defined in class svt_chi_rn_link_monitor_def_toggle_cov_data_callbacks