VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AHB SVT UVM Documentation - index - d

data
function arg
arg type uvm_object, defined in function uvm_event :: trigger
data
task arg
arg type output uvm_object, defined in task uvm_event :: wait_trigger_data
data
task arg
arg type output uvm_object, defined in task uvm_event :: wait_ptrigger_data
data
function arg
arg type uvm_object, defined in function uvm_event_callback :: pre_trigger
data
function arg
arg type uvm_object, defined in function uvm_event_callback :: post_trigger
data
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: sample
data
function arg
arg type uvm_reg_data_t, defined in function uvm_reg :: XsampleX
data
task arg
arg type input uvm_reg_data_t, defined in task uvm_reg_block :: write_reg_by_name
data
task arg
arg type output uvm_reg_data_t, defined in task uvm_reg_block :: read_reg_by_name
data
task arg
arg type input uvm_reg_data_t, defined in task uvm_reg_block :: write_mem_by_name
data
task arg
arg type output uvm_reg_data_t, defined in task uvm_reg_block :: read_mem_by_name
data
function arg
arg type ref uvm_reg_data_t, defined in function uvm_reg_cbs :: encode
data
function arg
arg type ref uvm_reg_data_t, defined in function uvm_reg_cbs :: decode
data
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base_iter :: find
data
function arg
arg type uvm_object, defined in function svt_event_pool :: trigger_event
data
attribute
attribute type svt_sequence_item_base, defined in class svt_sequence_item_base_queue
data
function arg
arg type bit [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_word :: write
data
function arg
arg type bit [(SVT_MEM_MAX_DATA_WIDTH-1):0], defined in function svt_mem :: write
data
attribute
attribute type rand bit [SVT_AHB_MAX_DATA_WIDTH-1:0], defined in class svt_ahb_transaction
data
function arg
arg type bit [7:0] , defined in function svt_ahb_transaction :: get_parity_bit_from_8bit_data
data
function arg
arg type bit [15:0] , defined in function svt_ahb_transaction :: get_parity_bit_from_16bit_data
data
attribute
attribute type rand bit [SVT_AHB_MAX_DATA_WIDTH-1:0], defined in class svt_ahb_master_transaction_write_xact_sequence
data_10bit
attribute
attribute type rand bit [9:0] , defined in class svt_8b10b_data
data_8bit
attribute
attribute type rand bit [7:0] , defined in class svt_8b10b_data
DATA_ACCESS
enum value
member of svt_ahb_transaction :: prot0_type_enum
data_busy_value
attribute
attribute type svt_ahb_configuration :: busy_val_enum, defined in class svt_ahb_configuration
data_gen_type
attribute
attribute type rand svt_traffic_profile_transaction :: attr_val_type_enum, defined in class svt_traffic_profile_transaction
data_granularity
function arg
arg type bit [3:0] , defined in function svt_ahb_transaction :: get_parity_bit_from_8bit_data
data_granularity
function arg
arg type bit [3:0] , defined in function svt_ahb_transaction :: get_parity_bit_from_16bit_data
data_huser
attribute
attribute type rand bit [SVT_AHB_MAX_DATA_USER_WIDTH-1:0], defined in class svt_ahb_transaction
data_huser_enable
attribute
attribute type rand bit, defined in class svt_ahb_configuration
data_huser_width
attribute
attribute type rand int, defined in class svt_ahb_configuration
data_idle_value
attribute
attribute type svt_ahb_configuration :: idle_val_enum, defined in class svt_ahb_configuration
data_in
function arg
arg type input bit [7:0] , defined in function svt_data_converter :: encode_8b10b_data
data_in
function arg
arg type input bit [9:0] , defined in function svt_data_converter :: decode_8b10b_data
data_in
function arg
arg type input bit [7:0] , defined in function svt_sequence_item :: encode_8b10b_data
data_in
function arg
arg type input bit [9:0] , defined in function svt_sequence_item :: decode_8b10b_data
data_injected
attribute
attribute type bit, defined in class svt_exception_list
data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
data_k
function arg
arg type input bit, defined in function svt_data_converter :: encode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_data_converter :: decode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_data_converter :: get_code_group
data_k
function arg
arg type input bit, defined in function svt_sequence_item :: encode_8b10b_data
data_k
function arg
arg type output bit, defined in function svt_sequence_item :: decode_8b10b_data
data_k
attribute
attribute type rand bit, defined in class svt_8b10b_data
data_max
attribute
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction
data_min
attribute
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_sequence_item_base :: get_prop_val
data_obj
function arg
arg type svt_sequence_item_base, defined in function svt_sequence_item_base :: display_get_prop_val_outcome
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_exception :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_exception_list :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_err_check_stats :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_err_check :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_sequence_item :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_8b10b_data :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_mem :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_fifo_rate_control_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_fifo_rate_control :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_traffic_profile_transaction :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_amba_addr_mapper :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_amba_fifo_rate_control_configuration :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_slave_multi_hsel_addr_range :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_slave_addr_range :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_transaction :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_master_transaction :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_slave_transaction :: get_prop_val
data_obj
function arg
arg type ref svt_sequence_item_base, defined in function svt_ahb_bus_status :: get_prop_val
data_out
function arg
arg type output bit [9:0] , defined in function svt_data_converter :: encode_8b10b_data
data_out
function arg
arg type output bit [7:0] , defined in function svt_data_converter :: decode_8b10b_data
data_out
function arg
arg type output bit [9:0] , defined in function svt_sequence_item :: encode_8b10b_data
data_out
function arg
arg type output bit [7:0] , defined in function svt_sequence_item :: decode_8b10b_data
data_phase_xact_num
interface attribute
defined in interface svt_ahb_master_if,
data_phase_xact_num
interface attribute
defined in interface svt_ahb_slave_if,
data_reg
function arg
arg type uvm_reg, defined in function uvm_reg_indirect_ftdr_seq :: new
data_size
function arg
arg type int, defined in function svt_ahb_transaction :: compare_write_data
data_to_pack
function arg
arg type input bit [SVT_AHB_MAX_DATA_WIDTH-1:0], defined in function svt_ahb_transaction :: pack_data_to_byte_stream
data_wdth
attribute
attribute type int, defined in class svt_mem
data_wdth
function arg
arg type int, defined in function svt_mem :: new
data_wdth
function arg
arg type int, defined in function svt_mem :: save_mem
data_wdth
function arg
arg type int, defined in function svt_mem :: load_mem
data_width
attribute
attribute type rand int, defined in class svt_ahb_configuration
data_width
function arg
arg type input int, defined in function svt_ahb_transaction :: generate_hbstrb
data_width
function arg
arg type input int, defined in function svt_ahb_transaction :: get_beat_addr
data_width
function arg
arg type input int, defined in function svt_ahb_transaction :: pack_data_to_byte_stream
data_width
function arg
arg type input int, defined in function svt_ahb_transaction :: pack_wstrb_to_byte_stream
data_width
function arg
arg type input int, defined in function svt_ahb_transaction :: get_wrap_boundary_idx
data_width_in_bytes
function arg
arg type input int, defined in function svt_ahb_transaction :: get_beat_lane
data_width_in_bytes
function arg
arg type input int, defined in function svt_ahb_transaction :: is_sparse_transfer
data_width_in_bytes
function arg
arg type input int, defined in function svt_ahb_transaction :: transfer_crosses_byte_boundary
datachk_parity_value
attribute
attribute type rand bit [SVT_AHB_MAX_DATACHK_WIDTH-1:0], defined in class svt_ahb_transaction
dc_mask
task arg
arg type uvm_reg_data_t, defined in task uvm_reg_single_bit_bash_seq :: bash_kth_bit
dead_end_is_ok
task arg
arg type input bit, defined in task svt_fsm_state_base :: m_goto_next_state
DEBUG
enum value
member of svt_types :: severity_enum
DEBUG
enum value
member of svt_err_check_stats :: fail_effect_enum
debug_connected_to
function
function, defined in class uvm_port_base,  returns type void
debug_create_by_name
function
function, defined in class uvm_factory,  returns type void
debug_create_by_type
function
function, defined in class uvm_factory,  returns type void
debug_prop
attribute
attribute type debug_prop_struct, defined in class svt_debug_vip_descriptor
debug_prop_struct
struct typedef
defined in class svt_debug_vip_descriptor
debug_provided_to
function
function, defined in class uvm_port_base,  returns type void
debug_report_catcher
function
function, defined in class uvm_report_catcher,  returns type void
dec_radix
attribute
attribute type string, defined in class uvm_printer_knobs
DECERR
enum value
member of svt_amba_pv :: resp_t
decode
function
function, defined in class uvm_reg_cbs,  returns type void
decode_8b10b_data
function
function, defined in class svt_data_converter,  returns type bit
decode_8b10b_data
function
function, defined in class svt_sequence_item,  returns type bit
decode_data
function
function, defined in class svt_8b10b_data,  returns type bit
decode_pattern_data
function
function, defined in class svt_sequence_item_base,  returns type bit
decode_prop_val
function
function, defined in class svt_sequence_item_base,  returns type bit
decode_prop_val
function
function, defined in class svt_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_err_check_stats,  returns type bit
decode_prop_val
function
function, defined in class svt_sequence_item,  returns type bit
decode_prop_val
function
function, defined in class svt_8b10b_data,  returns type bit
decode_prop_val
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
decode_prop_val
function
function, defined in class svt_amba_addr_mapper,  returns type bit
decode_prop_val
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
decode_prop_val
function
function, defined in class svt_ahb_transaction,  returns type bit
decoder
attribute
attribute type svt_ahb_decoder, defined in class svt_ahb_bus_env
decoder_asserted_multi_hsel
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
decoder_hsel_assert_check
attribute
attribute type bit, defined in class svt_ahb_system_configuration
decoder_not_asserted_any_hsel
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
decr
function
function, defined in class uvm_tlm_time,  returns type void
DECR
enum value
member of uvm_mem :: init_e
DECR
enum value
member of svt_mem :: meminit_enum
DEEP
enum value
member of svt_sequence_item_base :: recursive_op_enum
DEFAULT
enum value
member of svt_err_check_stats :: fail_effect_enum
default_alloc
attribute
attribute type uvm_mem_mam_policy, defined in class uvm_mem_mam
default_fail_effect
attribute
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats
default_fail_effect
function arg
arg type svt_err_check_stats :: fail_effect_enum, defined in function svt_err_check_stats :: new
default_fail_effect
function arg
arg type svt_err_check_stats :: fail_effect_enum, defined in function svt_err_check :: register
default_file_handle
attribute
attribute type UVM_FILE, defined in class uvm_report_handler
default_implementation_xact_factory
attribute
attribute type svt_sequence_item, defined in class svt_sequence_item
default_map
attribute
attribute type uvm_reg_map, defined in class uvm_reg_block
default_master
attribute
attribute type rand int, defined in class svt_ahb_system_configuration
default_pass_effect
attribute
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats
default_path
attribute
attribute type uvm_path_e, defined in class uvm_reg_block
default_precedence
attribute
attribute type static int unsigned, defined in class uvm_resource_base
default_radix
attribute
attribute type uvm_radix_enum, defined in class uvm_recorder
default_radix
attribute
attribute type uvm_radix_enum, defined in class uvm_printer_knobs
default_sequence
attribute
attribute type protected string, defined in class uvm_sequencer_base
default_slave
attribute
attribute type rand int, defined in class svt_ahb_system_configuration
default_slave_resp_check
attribute
attribute type bit, defined in class svt_ahb_system_configuration
define_access
function
function, defined in class uvm_reg_field,  returns type bit
define_domain
function
function, defined in class uvm_component,  returns type void
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_if :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_if :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_if :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_imp :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_imp :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_imp :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_port :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_port :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_port :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_transport_export :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_fw_export :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_transport_bw_export :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_initiator_socket_base :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_target_socket_base :: nb_transport_bw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_initiator_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_initiator_socket_base :: nb_transport_bw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_fw
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_passthrough_target_socket_base :: nb_transport_bw
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_passthrough_initiator_socket_base :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_passthrough_target_socket_base :: b_transport
delay
task arg
arg type uvm_tlm_time, defined in task uvm_tlm_b_target_socket :: b_transport
delay
function arg
arg type input uvm_tlm_time, defined in function uvm_tlm_nb_target_socket :: nb_transport_fw
delay_value
task arg
arg type real, defined in task svt_timer :: do_delay
delete
function
function, defined in class uvm_copy_map,  returns type void
delete
function
function, defined in class uvm_pool,  returns type void
delete
function
function, defined in class uvm_object_string_pool,  returns type void
delete
function
function, defined in class uvm_queue,  returns type void
delete
function
function, defined in class uvm_callbacks,  returns type void
delete
function arg
arg type bit, defined in function uvm_reg_field :: has_reset
delete
function arg
arg type bit, defined in function uvm_reg :: has_reset
delete_by_name
function
function, defined in class uvm_callbacks,  returns type void
delete_callback
function
function, defined in class uvm_event,  returns type void
delete_cov
function
function, defined in class svt_err_check_stats,  returns type void
delete_reqs
function
function, defined in class svt_dispatch_sequence,  returns type void
delimiter
function arg
arg type input string, defined in function svt_sequence_item_base :: decode_pattern_data
delta
task arg
arg type bit, defined in task uvm_event :: wait_on
delta
task arg
arg type bit, defined in task uvm_event :: wait_off
delta
task arg
arg type bit, defined in task svt_controlled_event :: wait_on
delta
task arg
arg type bit, defined in task svt_controlled_event :: wait_off
demoted_id_count
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_id_limit
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_messages_count
attribute
attribute type protected int, defined in class svt_err_catcher
demoted_messages_limit
attribute
attribute type protected int, defined in class svt_err_catcher
depth
attribute
attribute type int, defined in class uvm_comparer
depth
function
function, defined in class uvm_scope_stack,  returns type int
depth
attribute
attribute type int, defined in class uvm_printer_knobs
depth
function arg
arg type int, defined in function svt_sequence_item_report :: record_xact_impl
depth
function arg
arg type int, defined in function svt_sequence_item_report :: record_xact_trace
desc
function arg
arg type string, defined in function uvm_recorder :: begin_tr
desc
function arg
arg type string, defined in function uvm_component :: begin_tr
desc
function arg
arg type string, defined in function uvm_component :: begin_child_tr
desc
function arg
arg type string, defined in function uvm_component :: record_error_tr
desc
function arg
arg type string, defined in function uvm_component :: record_event_tr
desc
function arg
arg type string, defined in function uvm_component :: m_begin_tr
descr
attribute
attribute type string, defined in class svt_err_check_report_catcher
description
function arg
arg type string, defined in function uvm_component :: raised
description
function arg
arg type string, defined in function uvm_component :: dropped
description
task arg
arg type string, defined in task uvm_component :: all_dropped
description
function arg
arg type string, defined in function uvm_objection :: m_report
description
function arg
arg type string, defined in function uvm_objection :: m_propagate
description
function arg
arg type string, defined in function uvm_objection :: raise_objection
description
function arg
arg type string, defined in function uvm_objection :: m_raise
description
function arg
arg type string, defined in function uvm_objection :: drop_objection
description
function arg
arg type string, defined in function uvm_objection :: m_drop
description
function arg
arg type string, defined in function uvm_objection :: raised
description
function arg
arg type string, defined in function uvm_objection :: dropped
description
task arg
arg type string, defined in task uvm_objection :: m_forked_drain
description
task arg
arg type string, defined in task uvm_objection :: all_dropped
description
function arg
arg type string, defined in function uvm_phase :: raise_objection
description
function arg
arg type string, defined in function uvm_phase :: drop_objection
description
function arg
arg type string, defined in function uvm_test_done_objection :: qualify
description
function arg
arg type string, defined in function uvm_test_done_objection :: raise_objection
description
function arg
arg type string, defined in function uvm_test_done_objection :: drop_objection
description
task arg
arg type string, defined in task uvm_test_done_objection :: all_dropped
description
attribute
attribute type string, defined in class uvm_objection_context_object
description
function arg
arg type string, defined in function uvm_objection_callback :: raised
description
function arg
arg type string, defined in function uvm_objection_callback :: dropped
description
task arg
arg type string, defined in task uvm_objection_callback :: all_dropped
description
function arg
arg type string, defined in function uvm_callbacks_objection :: raised
description
function arg
arg type string, defined in function uvm_callbacks_objection :: dropped
description
task arg
arg type string, defined in task uvm_callbacks_objection :: all_dropped
description
function arg
arg type string, defined in function uvm_heartbeat_callback :: raised
description
function arg
arg type string, defined in function uvm_heartbeat_callback :: dropped
description
attribute
attribute type protected string, defined in class svt_err_check_stats
description
function arg
arg type string, defined in function svt_err_check_stats :: new
description
function arg
arg type string, defined in function svt_err_check :: register
dest_addr_mappers
attribute
attribute type svt_amba_addr_mapper, defined in class svt_ahb_configuration
die
function
function, defined in class uvm_report_object,  returns type void
different_subordinate_addr_region_during_locked_sequence
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_min_write_throughput,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_max_write_throughput,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_min_read_throughput,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_max_read_throughput,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_avg_min_read_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_avg_max_read_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_min_read_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_max_read_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_avg_min_write_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_avg_max_write_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_min_write_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_perf_max_write_xact_latency,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_seq_or_busy_before_nseq_during_xfer,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_burst_length_exceeded,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_idle2seq,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_idle2busy,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_idle_changed_to_busy_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_idle_changed_to_seq_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ctrl_or_addr_changed_during_busy,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb_address_phase_extended,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_control_transition,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_address_transition,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb_valid_beat_address_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_one_k_boundry_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_boundry_crossing_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hsize_too_big_for_data_width,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_burst_terminated_early_after_okay,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hwdata_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ctrl_or_addr_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ctrl_or_addr_changed_end_of_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_seq_or_busy_during_active_xact,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_trans_during_single_is_nseq,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_zero_wait_cycle_okay,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hready_out_from_bus_high_during_reset,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hready_out_from_slave_not_X_or_Z_during_reset,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_idle_during_reset,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_valid_byte_lane_for_hbstrb,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hunalign_changed_during_transfer,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_valid_unaligned_transfer,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hready_out_from_slave_not_X_or_Z_when_data_phase_not_pending,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_not_changed_to_idle_during_retry,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_default_slave_resp_to_nseq_seq,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_illegal_hgrant_on_split_resp,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_two_cycle_retry_resp,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_not_changed_to_idle_during_split,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_two_cycle_split_resp,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_not_changed_to_idle_during_error,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_two_cycle_error_resp,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_two_cycle_xfail_resp,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_non_okay_response_in_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb_lite_split_response,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb_lite_retry_response,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hresp_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hready_in_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hready_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hrdata_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hgrant_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hlock_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hprot_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hprot_ex_range_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hnonsec_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hmastlock_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hmaster_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hmaster_range_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hwdata_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hbusreq_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hburst_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hsize_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_htrans_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hwrite_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_haddr_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hbstrb_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hunalign_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hsel_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hexcl_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_signal_valid_hexokay_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hexokay_asserted_without_hready_asserted,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hexokay_asserted_when_hresp_asserted,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hwstrb_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwstrb_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwdata_huser_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_control_huser_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_resp_huser_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hwdata_huser_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hrdata_huser_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_invalid_hsel_assert_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hlock_asserted_during_non_locked_xact,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_different_subordinate_addr_region_during_locked_sequence,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_htrans_not_idle_or_nseq_during_no_grant,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hsplit_asserted_for_one_cycle,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hsplit_asserted_for_non_split_master,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_rebuild_xact_with_expected_addr,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_rebuild_xact_with_valid_combination_of_bursts,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_addr_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_aligened_addr_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_burst_length_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_burst_type_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_burst_size_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_prot_type_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_no_busy_transfer_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_write_id_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_read_response_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_write_response_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_exclusive_xact_overlap_with_another_exclusive_sequence_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_outstanding_exclusive_xact_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_received_parity_calculated_parity_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwdatachk_parity_calculated_hwdata_parity_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hrdatachk_parity_calculated_hrdata_parity_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_haddrchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_htranschk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hctrlchk1_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hctrlchk2_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hprotchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hwstrbchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hwdatachk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hrdatachk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hreadychk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hready_inchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hrespchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hselchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_control_huserchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hrdata_huserchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_hwdata_huserchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_signal_valid_resp_huserchk_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_htranschk_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_ctrl_or_addr_phase_parity_check_signals_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_ctrl_or_addr_phase_parity_check_signals_changed_end_of_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_ctrl_or_addr_phase_parity_check_signals_changed_during_busy,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwdatachk_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwdata_huserchk_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_hwstrbchk_changed_during_wait_state,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_ahb5_illegal_control_parity_check_signals_transition,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_lock_last_grant,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_changed_hmaster_during_lock,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_changed_hmaster_during_wait,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_asserted_hmaster_ne_granted_master,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_asserted_multi_hgrant,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_arbiter_asserted_hmastlock_without_hlock,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_hmastlock_changed_during_incr,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_xact_not_idle_when_dummy_master_active,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_decoder_asserted_multi_hsel,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_decoder_not_asserted_any_hsel,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_mask_hgrant_until_hsplit_assert,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_grant_to_default_master_during_allmaster_split,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_data_integrity_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_slave_transaction_routing_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_master_slave_xact_addr_ctrl_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_master_slave_xact_data_check,  returns type void
direct_override
function
function, defined in class svt_err_check_stats_cov_master_slave_xact_resp_check,  returns type void
direction_type
attribute
attribute type svt_amba_addr_mapper :: direction_type_enum, defined in class svt_amba_addr_mapper
direction_type_enum
enum typedef
defined in class svt_amba_addr_mapper
dirty
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_pass_dirty
disable_check
function
function, defined in class svt_err_check,  returns type void
disable_checks
function
function, defined in class svt_err_check,  returns type int
disable_checks_cov
function
function, defined in class svt_err_check,  returns type int
disable_cov_group
function arg
arg type string, defined in function svt_err_check :: disable_checks_cov
disable_cov_sub_group
function arg
arg type string, defined in function svt_err_check :: disable_checks_cov
disable_group
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_group
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
disable_locked_transaction_support
attribute
attribute type bit, defined in class svt_ahb_system_configuration
disable_recording
function
function, defined in class uvm_transaction,  returns type void
disable_sub_group
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_sub_group
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
disable_unique_id
function arg
arg type string, defined in function svt_err_check :: disable_checks
disable_unique_id
function arg
arg type string, defined in function svt_err_check :: capture_and_disable_checks
DISABLED
enum value
member of svt_sequence_item :: status_enum
DISABLED_NEXT
enum value
member of svt_fsm_state_base :: state_transition_options_enum
disp_in
function arg
arg type logic, defined in function svt_data_converter :: is_valid_10b
disp_in
function arg
arg type logic, defined in function svt_data_converter :: is_valid_K8b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_D10b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_K10b
disp_in
function arg
arg type bit, defined in function svt_8b10b_data :: lookup_8b
disp_in
function arg
arg type logic, defined in function svt_8b10b_data :: is_valid_10b
disp_in
function arg
arg type logic, defined in function svt_8b10b_data :: is_valid_K8b
dispatch
task
defined in class svt_dispatch_sequence
dispatch_semaphore
attribute
attribute type protected semaphore, defined in class svt_dispatch
dispatch_seq
attribute
attribute type protected svt_dispatch_sequence, defined in class svt_dispatch
display
function
function, defined in class uvm_typed_callbacks,  returns type void
display
function
function, defined in class uvm_callbacks,  returns type void
display_checked_out_features
function
function, defined in class svt_agent,  returns type void
display_checked_out_features
function
function, defined in class svt_env,  returns type void
display_get_prop_val_outcome
function
function, defined in class svt_sequence_item_base,  returns type void
display_kind
attribute
attribute type static int, defined in class svt_sequence_item_base
display_objections
function
function, defined in class uvm_objection,  returns type void
display_perf_summary_report
attribute
attribute type bit, defined in class svt_ahb_system_configuration
display_set_prop_val_outcome
function
function, defined in class svt_sequence_item_base,  returns type void
display_summary_report
attribute
attribute type bit, defined in class svt_ahb_system_configuration
dmi
function arg
arg type bit, defined in function uvm_tlm_generic_payload :: set_dmi_allowed
do_accept_tr
function
function, defined in class uvm_component,  returns type void
do_accept_tr
function
function, defined in class uvm_transaction,  returns type void
DO_ALLOCATE
enum value
member of svt_ahb_transaction :: prot4_type_enum
do_allocate_pattern
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_exception,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_exception_list,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_err_check_stats,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_sequence_item,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_8b10b_data,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_mem,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_fifo_rate_control_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_fifo_rate_control,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_traffic_profile_transaction,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_amba_addr_mapper,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_ahb_transaction,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_ahb_master_transaction,  returns type svt_pattern
do_allocate_pattern
function
function, defined in class svt_ahb_slave_transaction,  returns type svt_pattern
do_begin_tr
function
function, defined in class uvm_component,  returns type void
do_begin_tr
function
function, defined in class uvm_transaction,  returns type void
do_block
task
defined in class uvm_reg_bit_bash_seq
do_block
task
defined in class uvm_mem_walk_seq
do_block
task
defined in class uvm_mem_access_seq
do_block
task
defined in class uvm_reg_access_seq
do_block
task
defined in class uvm_reg_mem_shared_access_seq
do_block
function
function, defined in class uvm_reg_mem_hdl_paths_seq,  returns type void
do_bus_read
task
defined in class uvm_reg_map
do_bus_write
task
defined in class uvm_reg_map
do_check
function
function, defined in class uvm_reg,  returns type bit
do_compare
function
function, defined in class uvm_object,  returns type bit
do_compare
function
function, defined in class uvm_class_pair,  returns type bit
do_compare
function
function, defined in class uvm_built_in_pair,  returns type bit
do_compare
function
function, defined in class uvm_random_sequence,  returns type bit
do_compare
function
function, defined in class uvm_exhaustive_sequence,  returns type bit
do_compare
function
function, defined in class uvm_tlm_generic_payload,  returns type bit
do_compare
function
function, defined in class uvm_reg_field,  returns type bit
do_compare
function
function, defined in class uvm_vreg_field,  returns type bit
do_compare
function
function, defined in class uvm_reg,  returns type bit
do_compare
function
function, defined in class uvm_reg_file,  returns type bit
do_compare
function
function, defined in class uvm_vreg,  returns type bit
do_compare
function
function, defined in class uvm_reg_block,  returns type bit
do_compare
function
function, defined in class uvm_mem,  returns type bit
do_compare
function
function, defined in class svt_sequence_item_base,  returns type bit
do_compare
function
function, defined in class svt_exception,  returns type bit
do_compare
function
function, defined in class svt_exception_list,  returns type bit
do_compare
function
function, defined in class svt_sequence_item,  returns type bit
do_compare
function
function, defined in class svt_8b10b_data,  returns type bit
do_compare
function
function, defined in class svt_mem,  returns type bit
do_compare
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
do_compare
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
do_compare
function
function, defined in class svt_amba_addr_mapper,  returns type bit
do_compare
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
do_compare
function
function, defined in class svt_ahb_transaction,  returns type bit
do_compare
function
function, defined in class svt_ahb_master_transaction,  returns type bit
do_compare
function
function, defined in class svt_ahb_slave_transaction,  returns type bit
do_copy
function
function, defined in class uvm_object,  returns type void
do_copy
function
function, defined in class uvm_objection,  returns type void
do_copy
function
function, defined in class uvm_pool,  returns type void
do_copy
function
function, defined in class uvm_barrier,  returns type void
do_copy
function
function, defined in class uvm_event,  returns type void
do_copy
function
function, defined in class uvm_queue,  returns type void
do_copy
function
function, defined in class uvm_transaction,  returns type void
do_copy
function
function, defined in class uvm_class_pair,  returns type void
do_copy
function
function, defined in class uvm_built_in_pair,  returns type void
do_copy
function
function, defined in class uvm_random_sequence,  returns type void
do_copy
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_copy
function
function, defined in class uvm_tlm_extension_base,  returns type void
do_copy
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_copy
function
function, defined in class uvm_reg_field,  returns type void
do_copy
function
function, defined in class uvm_vreg_field,  returns type void
do_copy
function
function, defined in class uvm_reg,  returns type void
do_copy
function
function, defined in class uvm_reg_file,  returns type void
do_copy
function
function, defined in class uvm_vreg,  returns type void
do_copy
function
function, defined in class uvm_reg_block,  returns type void
do_copy
function
function, defined in class uvm_mem,  returns type void
do_copy
function
function, defined in class uvm_reg_item,  returns type void
do_copy
function
function, defined in class uvm_reg_map,  returns type void
do_copy
function
function, defined in class svt_sequence_item_base,  returns type void
do_copy
function
function, defined in class svt_configuration,  returns type void
do_copy
function
function, defined in class svt_exception,  returns type void
do_copy
function
function, defined in class svt_exception_list,  returns type void
do_copy
function
function, defined in class svt_sequence_item,  returns type void
do_copy
function
function, defined in class svt_mem,  returns type void
do_copy
function
function, defined in class svt_fifo_rate_control,  returns type void
do_copy
function
function, defined in class svt_traffic_profile_transaction,  returns type void
do_delay
task
defined in class svt_timer
do_end_tr
function
function, defined in class uvm_component,  returns type void
do_end_tr
function
function, defined in class uvm_transaction,  returns type void
do_extract_phase
function
function, defined in class svt_ahb_master_monitor,  returns type void
do_extract_phase
function
function, defined in class svt_ahb_master_agent,  returns type void
do_extract_phase
function
function, defined in class svt_ahb_slave_monitor,  returns type void
do_extract_phase
function
function, defined in class svt_ahb_slave_agent,  returns type void
do_field_check
function
function, defined in class uvm_status_container,  returns type void
do_flush
function
function, defined in class uvm_component,  returns type void
do_is_valid
function
function, defined in class svt_sequence_item_base,  returns type bit
do_is_valid
function
function, defined in class svt_exception,  returns type bit
do_is_valid
function
function, defined in class svt_exception_list,  returns type bit
do_is_valid
function
function, defined in class svt_sequence_item,  returns type bit
do_is_valid
function
function, defined in class svt_8b10b_data,  returns type bit
do_is_valid
function
function, defined in class svt_fifo_rate_control_configuration,  returns type bit
do_is_valid
function
function, defined in class svt_traffic_profile_transaction,  returns type bit
do_is_valid
function
function, defined in class svt_amba_fifo_rate_control_configuration,  returns type bit
do_is_valid
function
function, defined in class svt_ahb_transaction,  returns type bit
do_is_valid
function
function, defined in class svt_ahb_master_transaction,  returns type bit
do_is_valid
function
function, defined in class svt_ahb_slave_transaction,  returns type bit
do_kill
function
function, defined in class uvm_sequence_base,  returns type void
do_kill_all
function
function, defined in class uvm_component,  returns type void
DO_NOT_CATCH
attribute
attribute type static const int, defined in class uvm_report_catcher
DO_NOT_MODIFY
attribute
attribute type static const int, defined in class uvm_report_catcher
do_not_randomize
attribute
attribute type bit, defined in class uvm_sequence_base
do_pack
function
function, defined in class uvm_object,  returns type void
do_pack
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_pack
function
function, defined in class uvm_reg_field,  returns type void
do_pack
function
function, defined in class uvm_vreg_field,  returns type void
do_pack
function
function, defined in class uvm_reg,  returns type void
do_pack
function
function, defined in class uvm_reg_file,  returns type void
do_pack
function
function, defined in class uvm_vreg,  returns type void
do_pack
function
function, defined in class uvm_reg_block,  returns type void
do_pack
function
function, defined in class uvm_mem,  returns type void
do_pack
function
function, defined in class svt_sequence_item_base,  returns type void
do_pack
function
function, defined in class svt_configuration,  returns type void
do_pack
function
function, defined in class svt_exception,  returns type void
do_pack
function
function, defined in class svt_exception_list,  returns type void
do_pack
function
function, defined in class svt_sequence_item,  returns type void
do_post_read
task
defined in class uvm_reg_backdoor
do_post_write
task
defined in class uvm_reg_backdoor
do_pre_read
task
defined in class uvm_reg_backdoor
do_pre_write
task
defined in class uvm_reg_backdoor
do_predict
function
function, defined in class uvm_reg_field,  returns type void
do_predict
function
function, defined in class uvm_reg,  returns type void
do_predict
function
function, defined in class uvm_reg_indirect_data,  returns type void
do_predict
function
function, defined in class uvm_reg_fifo,  returns type void
do_print
function
function, defined in class uvm_object,  returns type void
do_print
function
function, defined in class uvm_component,  returns type void
do_print
function
function, defined in class uvm_pool,  returns type void
do_print
function
function, defined in class uvm_object_string_pool,  returns type void
do_print
function
function, defined in class uvm_barrier,  returns type void
do_print
function
function, defined in class uvm_event,  returns type void
do_print
function
function, defined in class uvm_resource_base,  returns type void
do_print
function
function, defined in class uvm_transaction,  returns type void
do_print
function
function, defined in class uvm_sequencer_base,  returns type void
do_print
function
function, defined in class uvm_sequence_item,  returns type void
do_print
function
function, defined in class uvm_sequencer_param_base,  returns type void
do_print
function
function, defined in class uvm_sequence,  returns type void
do_print
function
function, defined in class uvm_sequence_library,  returns type void
do_print
function
function, defined in class uvm_random_sequence,  returns type void
do_print
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_print
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_print
function
function, defined in class uvm_reg_field,  returns type void
do_print
function
function, defined in class uvm_vreg_field,  returns type void
do_print
function
function, defined in class uvm_reg,  returns type void
do_print
function
function, defined in class uvm_reg_file,  returns type void
do_print
function
function, defined in class uvm_vreg,  returns type void
do_print
function
function, defined in class uvm_reg_block,  returns type void
do_print
function
function, defined in class uvm_mem,  returns type void
do_print
function
function, defined in class uvm_reg_map,  returns type void
do_print
function
function, defined in class svt_sequence_item_base,  returns type void
do_print
function
function, defined in class svt_mem,  returns type void
do_read
task
defined in class uvm_reg_field
do_read
task
defined in class uvm_reg
do_read
task
defined in class uvm_mem
do_read
task
defined in class uvm_reg_map
do_record
function
function, defined in class uvm_object,  returns type void
do_record
function
function, defined in class uvm_transaction,  returns type void
do_record
function
function, defined in class uvm_random_sequence,  returns type void
do_record
function
function, defined in class uvm_exhaustive_sequence,  returns type void
do_record
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_record
function
function, defined in class svt_sequence_item_base,  returns type void
do_reg_item
task
defined in class uvm_reg_sequence
do_report_phase
function
function, defined in class svt_ahb_system_env,  returns type void
do_report_phase
function
function, defined in class svt_ahb_system_monitor,  returns type void
do_resolve_bindings
function
function, defined in class uvm_component,  returns type void
do_sequence_kind
task
defined in class uvm_sequence_base
do_task_phase
task
defined in class uvm_port_component_base
do_unpack
function
function, defined in class uvm_object,  returns type void
do_unpack
function
function, defined in class uvm_tlm_generic_payload,  returns type void
do_unpack
function
function, defined in class uvm_reg_field,  returns type void
do_unpack
function
function, defined in class uvm_vreg_field,  returns type void
do_unpack
function
function, defined in class uvm_reg,  returns type void
do_unpack
function
function, defined in class uvm_reg_file,  returns type void
do_unpack
function
function, defined in class uvm_vreg,  returns type void
do_unpack
function
function, defined in class uvm_reg_block,  returns type void
do_unpack
function
function, defined in class uvm_mem,  returns type void
do_unpack
function
function, defined in class svt_sequence_item_base,  returns type void
do_unpack
function
function, defined in class svt_configuration,  returns type void
do_unpack
function
function, defined in class svt_exception,  returns type void
do_unpack
function
function, defined in class svt_exception_list,  returns type void
do_unpack
function
function, defined in class svt_sequence_item,  returns type void
do_write
task
defined in class uvm_reg_field
do_write
task
defined in class uvm_reg
do_write
task
defined in class uvm_mem
do_write
task
defined in class uvm_reg_map
domain
function arg
arg type uvm_domain, defined in function uvm_component :: set_domain
domain
function arg
arg type uvm_domain, defined in function uvm_component :: define_domain
domain
function arg
arg type svt_amba_pv :: domain_t, defined in function svt_amba_pv_extension :: set_domain
domain_t
enum typedef
defined in class svt_amba_pv
domains
function arg
arg type output uvm_domain, defined in function uvm_domain :: get_domains
DONOT_ALLOCATE
enum value
member of svt_ahb_transaction :: prot4_type_enum
down
function
function, defined in class uvm_scope_stack,  returns type void
down_element
function
function, defined in class uvm_scope_stack,  returns type void
drain
function arg
arg type time, defined in function uvm_objection :: set_drain_time
driver
attribute
attribute type svt_ahb_master, defined in class svt_ahb_master_agent
driver
attribute
attribute type svt_ahb_slave, defined in class svt_ahb_slave_agent
drop
function arg
arg type ref bit, defined in function svt_ahb_master_callback :: post_input_port_get
drop
function arg
arg type ref bit, defined in function svt_ahb_master_monitor_callback :: pre_observed_port_put
drop
function arg
arg type ref bit, defined in function svt_ahb_master_monitor_callback :: pre_tlm_generic_payload_observed_port_put
drop
function arg
arg type ref bit, defined in function svt_ahb_slave_callback :: post_input_port_get
drop
function arg
arg type ref bit, defined in function svt_ahb_slave_monitor_callback :: pre_observed_port_put
drop
function arg
arg type ref bit, defined in function svt_ahb_slave_monitor_callback :: pre_tlm_generic_payload_observed_port_put
drop_objection
function
function, defined in class uvm_objection,  returns type void
drop_objection
function
function, defined in class uvm_phase,  returns type void
drop_objection
function
function, defined in class uvm_test_done_objection,  returns type void
drop_phase_objection
function
function, defined in class svt_sequence,  returns type void
drop_xact
function arg
arg type output bit, defined in function svt_ahb_master_transaction :: is_transaction_valid
dropped
function
function, defined in class uvm_component,  returns type void
dropped
function
function, defined in class uvm_objection,  returns type void
dropped
function
function, defined in class uvm_objection_callback,  returns type void
dropped
function
function, defined in class uvm_callbacks_objection,  returns type void
dropped
attribute
attribute type event, defined in class uvm_objection_events
dropped
function
function, defined in class uvm_heartbeat_callback,  returns type void
dropping_response_catcher
attribute
attribute type static protected svt_dropping_response_report_catcher, defined in class svt_sequencer
dst
function arg
arg type uvm_report_server, defined in function uvm_report_server :: copy_severity_counts
dst
function arg
arg type uvm_report_server, defined in function uvm_report_server :: copy_id_counts
dummy_master
attribute
attribute type rand int, defined in class svt_ahb_system_configuration
dump
function
function, defined in class uvm_resource_pool,  returns type void
dump
function
function, defined in class uvm_resource_db,  returns type void
dump_get_records
function
function, defined in class uvm_resource_pool,  returns type void
dump_report_state
function
function, defined in class uvm_report_object,  returns type void
dump_server_state
function
function, defined in class uvm_report_server,  returns type void
dump_state
function
function, defined in class uvm_report_handler,  returns type void
duration
attribute
attribute type real, defined in class svt_amba_perf_calc_base
dvm_message_t
enum typedef
defined in class svt_amba_pv
dvm_os_t
enum typedef
defined in class svt_amba_pv
dvm_security_t
enum typedef
defined in class svt_amba_pv
dynamic_checks
attribute
attribute type bit, defined in class svt_err_check
dynamic_rate
attribute
attribute type rand int, defined in class svt_amba_fifo_rate_control_configuration
dynamic_rate_control_interval_timer
attribute
attribute type svt_timer, defined in class svt_amba_fifo_rate_control
dynamic_rate_interval
attribute
attribute type real, defined in class svt_amba_fifo_rate_control_configuration
dynamic_response_wt_change_enable
attribute
attribute type bit, defined in class svt_ahb_slave_controlled_response_sequence
dynamic_response_wt_change_enable
attribute
attribute type bit, defined in class svt_ahb_slave_controlled_split_response_sequence
dynamic_response_wt_change_enable
attribute
attribute type bit, defined in class svt_ahb_slave_transaction_distributed_random_sequence
dynamic_response_wt_change_enable
attribute
attribute type bit, defined in class svt_ahb_slave_transaction_memory_sequence