|
b |
function arg |
arg type T, defined in function uvm_built_in_comp :: comp |
| b |
function arg |
arg type input T, defined in function uvm_class_comp :: comp |
| b |
function arg |
arg type input BEFORE, defined in function uvm_algorithmic_comparator :: write |
|
b_bit |
function arg |
arg type bit, defined in function svt_axi_toggle_bit_cov :: bit_cov |
|
b_fwd |
attribute |
attribute type uvm_tlm_b_target_socket, defined in class svt_axi_master_agent |
|
b_snoop |
attribute |
attribute type uvm_tlm_b_initiator_socket, defined in class svt_axi_master_agent |
|
b_transport |
task |
defined in class uvm_tlm_if |
| b_transport |
task |
defined in class uvm_tlm_b_transport_imp |
| b_transport |
task |
defined in class uvm_tlm_b_transport_port |
| b_transport |
task |
defined in class uvm_tlm_b_transport_export |
| b_transport |
task |
defined in class uvm_tlm_b_initiator_socket_base |
| b_transport |
task |
defined in class uvm_tlm_b_passthrough_initiator_socket_base |
| b_transport |
task |
defined in class uvm_tlm_b_passthrough_target_socket_base |
| b_transport |
task |
defined in class uvm_tlm_b_target_socket |
|
BACK_ADD |
enum value |
member of svt_sequence_item_base_queue_iter :: change_type_enum |
|
BACK_DELETE |
enum value |
member of svt_sequence_item_base_queue_iter :: change_type_enum |
|
back_invalidation_snoop_specified_by_user |
attribute |
attribute type bit, defined in class svt_axi_system_configuration |
|
back_to_back_read_burst_event |
attribute |
attribute type event, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
back_to_back_read_burst_sequence |
attribute |
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
back_to_back_write_burst_event |
attribute |
attribute type event, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
back_to_back_write_burst_sequence |
attribute |
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
back_to_back_write_ordering |
attribute |
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
backdoor |
function |
function, defined in class uvm_reg_map,
returns type uvm_reg_map |
| backdoor |
attribute |
attribute type svt_mem_backdoor, defined in class svt_mem_sequencer |
|
backdoor_read |
task |
defined in class uvm_reg |
| backdoor_read |
task |
defined in class uvm_mem |
|
backdoor_read_func |
function |
function, defined in class uvm_reg,
returns type uvm_status_e |
| backdoor_read_func |
function |
function, defined in class uvm_mem,
returns type uvm_status_e |
|
backdoor_watch |
task |
defined in class uvm_reg |
|
backdoor_write |
task |
defined in class uvm_reg |
| backdoor_write |
task |
defined in class uvm_mem |
| backdoor_write |
function |
function, defined in class svt_axi_cache,
returns type bit |
|
bank_addr_width |
attribute |
attribute type rand int unsigned, defined in class svt_mem_suite_configuration |
|
bar |
function arg |
arg type svt_amba_pv :: bar_t, defined in function svt_amba_pv_extension :: set_bar |
|
bar_t |
enum typedef |
defined in class svt_amba_pv |
|
barrier_enable |
attribute |
attribute type rand bit, defined in class svt_axi_port_configuration |
|
barrier_flag_xact |
task arg |
arg type svt_axi_master_transaction, defined in task svt_axi_ace_master_barrier_base_virtual_sequence :: poll_barrier_flag_and_check_post_barrier_contents |
|
barrier_id |
attribute |
attribute type int, defined in class svt_axi_ace_barrier_pair_sequence |
|
barrier_id_max |
attribute |
attribute type rand int, defined in class svt_axi_port_configuration |
|
barrier_id_min |
attribute |
attribute type rand int, defined in class svt_axi_port_configuration |
|
barrier_id_overlap |
attribute |
attribute type rand bit, defined in class svt_axi_port_configuration |
|
barrier_id_valid_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_ids |
attribute |
attribute type protected bit [SVT_AXI_MAX_ID_WIDTH-1:0], defined in class svt_axi_port_monitor_def_cov_data_callback |
|
barrier_outstanding_xact_flag |
attribute |
attribute type protected bit, defined in class svt_axi_port_monitor_def_cov_data_callback |
|
barrier_pair_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_pair_cntrl_signals_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_pair_event |
attribute |
attribute type event, defined in class svt_axi_cov_data |
|
barrier_pair_seq |
task arg |
arg type output svt_axi_ace_barrier_pair_sequence, defined in task svt_axi_ace_master_barrier_base_virtual_sequence :: send_barrier_sequence |
|
barrier_pair_sequence |
attribute |
attribute type int, defined in class svt_axi_cov_data |
|
barrier_pair_xact |
function arg |
arg type svt_axi_barrier_pair_transaction, defined in function svt_axi_master_callback :: associate_xact_to_barrier_pair |
|
barrier_read_response_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_transaction_user_valid_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_type |
attribute |
attribute type rand svt_axi_transaction :: barrier_type_enum, defined in class svt_axi_transaction |
|
barrier_type_enum |
enum typedef |
defined in class svt_axi_transaction |
| barrier_type_enum |
enum typedef |
defined in class svt_axi_transaction_exception |
|
barrier_watchdog_timeout |
attribute |
attribute type int, defined in class svt_axi_system_configuration |
|
barrier_write_response_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
barrier_xacts_valid_range |
constraint |
defined in class svt_axi_transaction |
|
base_addr |
function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_block :: create_map |
| base_addr |
function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: configure |
| base_addr |
function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: get_physical_addresses |
| base_addr |
attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
|
base_cfg |
attribute |
attribute type svt_configuration, defined in class svt_axi_system_base_sequence |
|
base_data |
function arg |
arg type input logic [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_sa_core_2state :: create_pattern |
| base_data |
function arg |
arg type input logic [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_sa_core_4state :: create_pattern |
| base_data |
function arg |
arg type svt_mem_data_t, defined in function svt_mem_backdoor :: initialize_base |
| base_data |
function arg |
arg type svt_mem_data_t, defined in function svt_mem_core :: initialize |
|
base_name |
function arg |
arg type output string, defined in function svt_sequence_item_base :: strip_array_element_suffix |
|
bash_kth_bit |
task |
defined in class uvm_reg_single_bit_bash_seq |
|
BASIC |
enum value |
member of svt_axi_port_configuration :: mte_support_type_enum |
|
basic_evict |
attribute |
attribute type svt_axi_ace_master_base_sequence, defined in class svt_axi_cacheline_invalidation |
|
basic_makeunique |
attribute |
attribute type svt_axi_ace_master_base_sequence, defined in class svt_axi_cacheline_initialization |
|
basic_readshared |
attribute |
attribute type svt_axi_ace_master_base_sequence, defined in class svt_axi_cacheline_initialization |
|
basic_writeback |
attribute |
attribute type svt_axi_basic_writeback_full_cacheline, defined in class svt_axi_cacheline_invalidation |
|
basic_writeclean |
attribute |
attribute type svt_axi_basic_writeclean_full_cacheline, defined in class svt_axi_cacheline_initialization |
|
bbusy |
attribute |
attribute type rand svt_axi_transaction :: subordinate_busy_indicator_enum, defined in class svt_axi_transaction |
| bbusy |
interface attribute |
defined in interface svt_axi_master_if, |
| bbusy |
interface attribute |
defined in interface svt_axi_slave_if, |
|
bcomp |
interface attribute |
defined in interface svt_axi_master_if, |
| bcomp |
interface attribute |
defined in interface svt_axi_slave_if, |
|
BCOMP_AFTER_BPERSIST |
enum value |
member of svt_axi_transaction :: write_resp_type_enum |
|
BCOMP_AFTER_BTAGMATCH |
enum value |
member of svt_axi_transaction :: completion_and_tagmatch_resp_order_type_enum |
|
BCOMP_BEFORE_BPERSIST |
enum value |
member of svt_axi_transaction :: write_resp_type_enum |
|
BCOMP_BEFORE_BTAGMATCH |
enum value |
member of svt_axi_transaction :: completion_and_tagmatch_resp_order_type_enum |
|
bcomp_response_recieved_if_signal_present_on_interface_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
bcomp_signal_enable |
attribute |
attribute type bit, defined in class svt_axi_port_configuration |
|
BCOMP_TOGETHER_WITH_BPERSIST |
enum value |
member of svt_axi_transaction :: write_resp_type_enum |
|
BCOMP_TOGETHER_WITH_BTAGMATCH |
enum value |
member of svt_axi_transaction :: completion_and_tagmatch_resp_order_type_enum |
|
bd_kind |
attribute |
attribute type string, defined in class uvm_reg_item |
|
be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: predict |
| be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: do_predict |
| be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: predict |
| be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: do_predict |
| be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_indirect_data :: do_predict |
| be |
function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_fifo :: do_predict |
|
beat_addr |
function arg |
arg type output [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_beat_addr_and_lane_for_data_user |
| beat_addr |
function arg |
arg type output [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_beat_addr_and_lane |
| beat_addr |
function arg |
arg type output [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_beat_addr_and_lane_atomic_read_data |
| beat_addr |
function arg |
arg type output [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_beat_addr_and_lane_atomic_write_data |
| beat_addr |
function arg |
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_beat_num_of_addr |
| beat_addr |
function arg |
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_transaction :: get_byte_lanes_for_data_width |
|
beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: get_curr_byte_lane |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: get_curr_byte_lane_atomic_write_data |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: get_curr_byte_lane_atomic_read_data |
| beat_num |
function arg |
arg type input int, defined in function svt_axi_transaction :: get_beat_addr_and_lane_for_data_user |
| beat_num |
function arg |
arg type input int, defined in function svt_axi_transaction :: get_beat_addr_and_lane |
| beat_num |
function arg |
arg type input int, defined in function svt_axi_transaction :: get_beat_addr_and_lane_atomic_read_data |
| beat_num |
function arg |
arg type input int, defined in function svt_axi_transaction :: get_beat_addr_and_lane_atomic_write_data |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: mask_data_for_unaligned_addr |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: mask_tag_for_unaligned_addr |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: unpack_tag_into_atomic_swap_and_atomic_compare_tag |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: get_byte_lanes_for_data_width |
| beat_num |
function arg |
arg type int, defined in function svt_axi_transaction :: get_byte_count |
|
before_export |
attribute |
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator |
| before_export |
attribute |
attribute type uvm_analysis_imp, defined in class uvm_algorithmic_comparator |
|
before_phase |
function arg |
arg type uvm_phase, defined in function uvm_phase :: add |
|
begin_child_tr |
function |
function, defined in class uvm_component,
returns type integer |
| begin_child_tr |
function |
function, defined in class uvm_transaction,
returns type integer |
|
begin_elements |
attribute |
attribute type int, defined in class uvm_printer_knobs |
|
begin_event |
attribute |
attribute type uvm_event, defined in class uvm_transaction |
|
begin_is_off |
function |
function, defined in class svt_sequence_item,
returns type bit |
|
begin_is_on |
function |
function, defined in class svt_sequence_item,
returns type bit |
|
BEGIN_REQ |
enum value |
member of global items uvm_tlm_phase_e |
|
BEGIN_RESP |
enum value |
member of global items uvm_tlm_phase_e |
|
begin_time |
function arg |
arg type time, defined in function uvm_recorder :: begin_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_component :: begin_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_component :: begin_child_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_component :: m_begin_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_transaction :: begin_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_transaction :: begin_child_tr |
| begin_time |
function arg |
arg type time, defined in function uvm_transaction :: m_begin_tr |
| begin_time |
function arg |
arg type realtime, defined in function svt_vip_writer :: object_create |
|
begin_tr |
function |
function, defined in class uvm_recorder,
returns type integer |
| begin_tr |
function |
function, defined in class uvm_component,
returns type integer |
| begin_tr |
function |
function, defined in class uvm_transaction,
returns type integer |
|
bid |
interface attribute |
defined in interface svt_axi_master_if, |
| bid |
interface attribute |
defined in interface svt_axi_slave_if, |
| bid |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bid |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
bid_chk |
attribute |
attribute type rand bit [1:0] , defined in class svt_axi_transaction |
|
bid_toggle_cov |
attribute |
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback |
|
bidchk |
interface attribute |
defined in interface svt_axi_master_if, |
| bidchk |
interface attribute |
defined in interface svt_axi_slave_if, |
| bidchk |
interface attribute |
defined in interface svt_axi_master_param_if, |
|
BIDCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
bidunq |
interface attribute |
defined in interface svt_axi_master_if, |
| bidunq |
interface attribute |
defined in interface svt_axi_slave_if, |
| bidunq |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bidunq |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
bidunq_validity_with_awidunq_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
big_endian |
attribute |
attribute type bit, defined in class uvm_packer |
| BIG_ENDIAN |
enum value |
member of svt_axi_transaction :: endian_enum |
|
bin_radix |
attribute |
attribute type string, defined in class uvm_printer_knobs |
|
bit_cov |
function |
function, defined in class svt_axi_toggle_bit_cov,
returns type void |
|
bits |
function arg |
arg type ref bit unsigned, defined in function uvm_packer :: get_bits |
|
bitstream |
attribute |
attribute type static bit, defined in class uvm_packer |
| bitstream |
function arg |
arg type ref bit unsigned, defined in function uvm_packer :: put_bits |
| bitstream |
function arg |
arg type ref bit, defined in function uvm_object :: pack |
| bitstream |
function arg |
arg type ref bit, defined in function uvm_object :: unpack |
| bitstream |
attribute |
attribute type uvm_bitstream_t, defined in class uvm_status_container |
|
bkdr |
function arg |
arg type uvm_reg_backdoor, defined in function uvm_reg :: set_backdoor |
| bkdr |
function arg |
arg type uvm_reg_backdoor, defined in function uvm_reg_block :: set_backdoor |
| bkdr |
function arg |
arg type uvm_reg_backdoor, defined in function uvm_mem :: set_backdoor |
|
blk |
function arg |
arg type uvm_reg_block, defined in function uvm_reg_block :: add_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_hw_reset_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_access_seq :: reset_blk |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: reset_blk |
| blk |
function arg |
arg type uvm_reg_block, defined in function uvm_reg_mem_hdl_paths_seq :: do_block |
| blk |
task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_hdl_paths_seq :: reset_blk |
|
blk_parent |
function arg |
arg type uvm_reg_block, defined in function uvm_reg :: configure |
| blk_parent |
function arg |
arg type uvm_reg_block, defined in function uvm_reg :: set_parent |
| blk_parent |
function arg |
arg type uvm_reg_block, defined in function uvm_reg_file :: configure |
| blk_parent |
function arg |
arg type uvm_reg_block, defined in function uvm_reg_indirect_data :: configure |
|
blks |
function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_root_blocks |
| blks |
function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: find_blocks |
| blks |
function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_blocks |
|
blocking_get_export |
attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
|
blocking_get_peek_export |
attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
|
blocking_get_peek_request_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_get_peek_response_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_get_request_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_get_response_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_master_export |
attribute |
attribute type uvm_master_imp, defined in class uvm_tlm_req_rsp_channel |
|
blocking_mode |
task arg |
arg type int, defined in task svt_axi_ace_random_exclusive_access_virtual_sequence :: send_xact |
|
blocking_peek_export |
attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
|
blocking_peek_request_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_peek_response_export |
attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_put_export |
attribute |
attribute type uvm_put_imp, defined in class uvm_tlm_fifo_base |
|
blocking_put_port |
attribute |
attribute type uvm_blocking_put_port, defined in class uvm_random_stimulus |
|
blocking_put_request_export |
attribute |
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_put_response_export |
attribute |
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel |
|
blocking_slave_export |
attribute |
attribute type uvm_slave_imp, defined in class uvm_tlm_req_rsp_channel |
|
bloop |
interface attribute |
defined in interface svt_axi_master_if, |
| bloop |
interface attribute |
defined in interface svt_axi_slave_if, |
| bloop |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bloop |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
bloop_chk |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
|
bloop_valid_value_for_write_xacts_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
bloopchk |
interface attribute |
defined in interface svt_axi_master_if, |
| bloopchk |
interface attribute |
defined in interface svt_axi_slave_if, |
|
BLOOPCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
body |
task |
defined in class uvm_sequence_base |
| body |
task |
defined in class uvm_sequence_library |
| body |
task |
defined in class uvm_random_sequence |
| body |
task |
defined in class uvm_exhaustive_sequence |
| body |
task |
defined in class uvm_simple_sequence |
| body |
task |
defined in class uvm_reg_sequence |
| body |
task |
defined in class uvm_reg_indirect_ftdr_seq |
| body |
task |
defined in class uvm_reg_hw_reset_seq |
| body |
task |
defined in class uvm_reg_single_bit_bash_seq |
| body |
task |
defined in class uvm_reg_bit_bash_seq |
| body |
task |
defined in class uvm_mem_single_walk_seq |
| body |
task |
defined in class uvm_mem_walk_seq |
| body |
task |
defined in class uvm_mem_single_access_seq |
| body |
task |
defined in class uvm_mem_access_seq |
| body |
task |
defined in class uvm_reg_single_access_seq |
| body |
task |
defined in class uvm_reg_access_seq |
| body |
task |
defined in class uvm_reg_mem_access_seq |
| body |
task |
defined in class uvm_reg_shared_access_seq |
| body |
task |
defined in class uvm_mem_shared_access_seq |
| body |
task |
defined in class uvm_reg_mem_shared_access_seq |
| body |
task |
defined in class uvm_reg_mem_built_in_seq |
| body |
task |
defined in class uvm_reg_mem_hdl_paths_seq |
| body |
task |
defined in class svt_dispatch_sequence |
| body |
task |
defined in class svt_fsm_state_base |
| body |
task |
defined in class svt_mem_ram_sequence |
| body |
task |
defined in class svt_axi_sysco_coherency_disabled_state |
| body |
task |
defined in class svt_axi_sysco_coherency_connect_state |
| body |
task |
defined in class svt_axi_sysco_coherency_enabled_state |
| body |
task |
defined in class svt_axi_sysco_coherency_disconnect_state |
| body |
task |
defined in class svt_axi_ace_master_snoop_response_sequence |
| body |
task |
defined in class svt_axi_master_base_sequence |
| body |
task |
defined in class svt_axi_master_write_xact_sequence |
| body |
task |
defined in class svt_axi_master_read_xact_sequence |
| body |
task |
defined in class svt_axi_ace_master_write_xact_sequence |
| body |
task |
defined in class svt_axi_ace_master_read_xact_sequence |
| body |
task |
defined in class svt_axi_master_random_sequence |
| body |
task |
defined in class svt_axi4_lite_master_random_sequence |
| body |
task |
defined in class svt_axi_random_sequence |
| body |
task |
defined in class svt_axi_write_same_slave_sequence |
| body |
task |
defined in class svt_axi_read_same_slave_sequence |
| body |
task |
defined in class axi_master_wr_rd_parallel_sequence |
| body |
task |
defined in class svt_axi_master_blocking_write_read_sequence |
| body |
task |
defined in class axi_master_wr_rd_single_outstanding_per_id_sequence |
| body |
task |
defined in class svt_axi_master_outstanding_xact_id_sequence |
| body |
task |
defined in class svt_axi_master_outstanding_dvm_tlb_invalidate_xacts_sequence |
| body |
task |
defined in class svt_axi_master_outstanding_snoop_xacts_sequence |
| body |
task |
defined in class svt_axi_master_blocking_alternate_write_read_sequence |
| body |
task |
defined in class svt_axi_master_write_data_fixed_interleave_block_sequence |
| body |
task |
defined in class svt_axi_master_write_data_before_addr_sequence |
| body |
task |
defined in class svt_axi_master_aligned_addr_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_test_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_random_test_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_memory_test_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_read_after_read_test_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_read_write_exhausing_the_fifo_depth_sequence |
| body |
task |
defined in class svt_axi_master_exclusive_normal_wrap_test_sequence |
| body |
task |
defined in class svt_axi_master_locked_test_sequence |
| body |
task |
defined in class svt_axi_master_normal_exclusive_random_sequence |
| body |
task |
defined in class svt_axi_master_locked_read_followed_by_excl_sequence |
| body |
task |
defined in class svt_axi3_master_random_read_write_locked_sequence |
| body |
task |
defined in class axi_master_atomic_store_xact_base_sequence |
| body |
task |
defined in class axi_master_atomic_load_xact_base_sequence |
| body |
task |
defined in class axi_master_atomic_compare_xact_base_sequence |
| body |
task |
defined in class axi_master_atomic_swap_xact_base_sequence |
| body |
task |
defined in class axi_master_rdata_chunk_wr_rd_sequence |
| body |
task |
defined in class axi_master_rdata_chunk_err_sequence |
| body |
task |
defined in class svt_axi_master_chunk_reorder_sequence |
| body |
task |
defined in class svt_axi_master_chunking_same_id_sequence |
| body |
task |
defined in class svt_axi_unique_id_wr_rd_sequence |
| body |
task |
defined in class svt_axi_unique_id_random_sequence |
| body |
task |
defined in class svt_axi5_unique_id_wr_rd_outstanding_sequence |
| body |
task |
defined in class svt_axi5_unique_id_sequence |
| body |
task |
defined in class svt_axi5_unique_id_same_id_directed_sequence |
| body |
task |
defined in class svt_axi5_unique_id_separate_id_separate_num_outstanding_wr_rd_sequence |
| body |
task |
defined in class svt_axi5_unique_id_same_id_all_unique_id_sequence |
| body |
task |
defined in class svt_axi5_unique_id_different_id_all_unique_id_sequence |
| body |
task |
defined in class axi_awakeup_before_axvalid_sequence |
| body |
task |
defined in class axi_awakeup_before_wvalid_sequence |
| body |
task |
defined in class axi_awakeup_after_wvalid_sequence |
| body |
task |
defined in class axi_awakeup_after_axvalid_sequence |
| body |
task |
defined in class axi_awakeup_same_axvalid_sequence |
| body |
task |
defined in class axi_awakeup_same_wvalid_sequence |
| body |
task |
defined in class svt_axi_master_sanity_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_read_write_mismatch_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_watchdog_timer_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_max_req_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_normalwr_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_overlapping_normalwr_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_read_without_write_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_inorder_overlapping_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_inorder_overlapping_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_inorder_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_outoforder_overlapping_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_outoforder_overlapping_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_sameid_outoforder_test_sequence |
| body |
task |
defined in class svt_axi_exclusive_id_addr_test_sequence |
| body |
task |
defined in class svt_axi_service_coherency_exit_sequence |
| body |
task |
defined in class svt_axi_service_coherency_entry_sequence |
| body |
task |
defined in class svt_axi_service_random_coherency_exit_sequence |
| body |
task |
defined in class svt_axi_tlm_generic_payload_sequence |
| body |
task |
defined in class svt_axi_tlm_generic_payload_pv_sequence |
| body |
task |
defined in class svt_axi_slave_response_sequence |
| body |
task |
defined in class svt_axi_slave_memory_sequence |
| body |
task |
defined in class svt_axi_slave_traffic_profile_sequence |
| body |
task |
defined in class svt_axi_slave_exclusive_sequence |
| body |
task |
defined in class svt_axi_slave_read_data_fixed_interleave_block_sequence |
| body |
task |
defined in class axi_slave_wr_rd_memory_response_sequence |
| body |
task |
defined in class svt_axi_slave_tlm_response_sequence |
| body |
task |
defined in class svt_axi_slave_random_snoop_sequence |
| body |
task |
defined in class svt_axi_slave_rchunkv_0_sequence |
| body |
task |
defined in class svt_axi_slave_reorder_chunk_response_sequence |
| body |
task |
defined in class svt_axi_slave_service_base_sequence |
| body |
task |
defined in class svt_axi_slave_service_random_sequence |
| body |
task |
defined in class svt_axi_slave_service_qos_write_accept_update_sequence |
| body |
task |
defined in class svt_axi_slave_service_qos_read_accept_update_sequence |
| body |
task |
defined in class svt_axi_system_random_sequence |
| body |
task |
defined in class svt_axi_master_atomic_store_xact_base_virtual_sequence |
| body |
task |
defined in class svt_axi_master_atomic_load_xact_base_virtual_sequence |
| body |
task |
defined in class svt_axi_master_atomic_compare_xact_base_virtual_sequence |
| body |
task |
defined in class svt_axi_master_atomic_swap_xact_base_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_master_base_sequence |
| body |
task |
defined in class svt_axi_ace_master_base_virtual_sequence |
| body |
task |
defined in class svt_axi_cacheline_initialization |
| body |
task |
defined in class svt_axi_cacheline_invalidation |
| body |
task |
defined in class svt_axi_ace_master_dvm_base_sequence |
| body |
task |
defined in class svt_axi_ace_master_dvm_complete_sequence |
| body |
task |
defined in class svt_axi_ace_barrier_flag_write_xact_sequence |
| body |
task |
defined in class svt_axi_ace_barrier_flag_read_xact_sequence |
| body |
task |
defined in class svt_axi_ace_barrier_pair_sequence |
| body |
task |
defined in class svt_axi_ace_barrier_readnosnoop_sequence |
| body |
task |
defined in class svt_axi_ace_exclusive_access_sequence |
| body |
task |
defined in class svt_axi_ace_master_two_port_overlapping_addr_store_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_two_port_overlapping_addr_load_cmo_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_two_port_overlapping_addr_store_and_load_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_two_port_overlapping_addr_cmo_and_store_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_barrier_base_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_master_makeunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_readshared_sequence |
| body |
task |
defined in class svt_axi_ace_master_readclean_sequence |
| body |
task |
defined in class svt_axi_ace_master_readnosnoop_sequence |
| body |
task |
defined in class svt_axi_ace_master_readonce_sequence |
| body |
task |
defined in class svt_axi_ace_master_readnotshareddirty_sequence |
| body |
task |
defined in class svt_axi_ace_master_readunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleanunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleanshared_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleaninvalidpopa_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleaninvalid_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleansharedpersist_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleanshareddeeppersist_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeptl_with_cmo_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_writefull_with_cmo_on_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleanshared_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleansharedpersist_sequence |
| body |
task |
defined in class svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleaninvalid_sequence |
| body |
task |
defined in class svt_axi_ace_master_makeinvalid_sequence |
| body |
task |
defined in class svt_axi_ace_master_writenosnoop_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_writelineunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeuniqueptlstash_sequence |
| body |
task |
defined in class svt_axi_ace_master_stashonceunique_sequence |
| body |
task |
defined in class svt_axi_ace_master_stashonceshared_sequence |
| body |
task |
defined in class svt_axi_ace_master_stashtranslation_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeuniquefullstash_sequence |
| body |
task |
defined in class svt_axi_ace_master_writedeferrable_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeback_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeclean_sequence |
| body |
task |
defined in class svt_axi_ace_master_evict_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeevict_sequence |
| body |
task |
defined in class svt_axi_ace_master_writenosnoop_readnosnoop_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_read_type_shareable_region_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeback_writeclean_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_cleanunique_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeunique_writelineunique_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_cachemaintenance_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_cmo_shareable_txn_sequence |
| body |
task |
defined in class svt_axi_ace_master_makeunique_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_writeevict_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_evict_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_exclusive_access_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_random_exclusive_access_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_master_snoop_during_memory_update_sequence |
| body |
task |
defined in class svt_axi_ace_master_overlapping_addr_sequence |
| body |
task |
defined in class svt_axi_ace_master_read_during_coherent_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_two_master_concurrent_write_sequence |
| body |
task |
defined in class svt_axi_ace_master_shareable_store_barrier_load_sequence |
| body |
task |
defined in class svt_axi_ace_master_nonshareable_store_barrier_load_sequence |
| body |
task |
defined in class svt_axi_ace_master_load_barrier_sequence |
| body |
task |
defined in class svt_axi_ace_master_dvm_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence |
| body |
task |
defined in class svt_axi_ace_master_readoncecleaninvalid_sequential_sequence |
| body |
task |
defined in class svt_axi_ace_master_readoncecleaninvalid_sequence |
| body |
task |
defined in class svt_axi_ace_master_readoncemakeinvalid_sequence |
| body |
task |
defined in class svt_axi_ace_master_readoncemakeinvalid_sequential_sequence |
| body |
task |
defined in class svt_axi_signal_timing_write_read_default_ready_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_write_read_with_zero_delay_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_write_data_before_address_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_write_with_strobe_deasserted_ictest_sequence |
| body |
task |
defined in class svt_axi_decode_error_response_ictest_sequence |
| body |
task |
defined in class svt_axi_random_all_master_to_all_slave_sequence |
| body |
task |
defined in class svt_axi_random_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_aligned_addr_full_data_width_random_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_unaligned_addr_full_data_width_random_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_aligned_addr_narrow_transfers_random_ictest_sequence |
| body |
task |
defined in class svt_axi_burst_unaligned_addr_narrow_transfers_random_ictest_sequence |
| body |
task |
defined in class svt_axi_exclusive_read_write_ictest_sequence |
| body |
task |
defined in class svt_axi_locked_read_followed_by_excl_sequence |
| body |
task |
defined in class svt_axi_exclusive_normal_random_virtual_sequence |
| body |
task |
defined in class svt_axi3_random_read_write_locked_sequence |
| body |
task |
defined in class svt_axi_cov_corner_cases_wstrb_sequence |
| body |
task |
defined in class svt_axi_cov_corner_cases_addr_min_sequence |
| body |
task |
defined in class svt_axi3_cov_corner_cases_exclusive_cache_type_sequence |
| body |
task |
defined in class svt_axi_ordering_write_device_non_bufferable_memory_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_bufferable_memory_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_same_slave_response_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_slave_response_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_same_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_same_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_write_overlap_addr_same_id_normal_memory_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_same_id_device_memory_same_slave_response_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_same_id_device_memory_diff_slave_response_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_overlap_addr_same_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_write_overlap_addr_diff_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_write_overlap_addr_diff_id_normal_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_read_overlap_addr_same_id_normal_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_read_overlap_addr_diff_id_normal_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_read_overlap_addr_diff_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi4_ordering_read_overlap_addr_same_id_device_memory_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_same_id_sequence_diff_masters_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_same_id_xact_from_diff_masters_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_without_wait_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_read_write_same_id_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_read_same_id_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_read_same_id_from_diff_masters_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_same_id_from_diff_masters_ictest_sequence |
| body |
task |
defined in class svt_axi3_ordering_write_diff_id_interleave_ictest_sequence |
| body |
task |
defined in class svt_axi3_ordering_write_diff_id_interleave_with_repeating_id_ictest_sequence |
| body |
task |
defined in class svt_axi3_ordering_write_diff_id_no_interleave_at_slave_ictest_sequence |
| body |
task |
defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_masters_response_ictest_sequence |
| BODY |
enum value |
member of global items uvm_sequence_state |
|
BOTH |
enum value |
member of svt_axi_lp_port_configuration :: lp_initiator_type_enum |
|
bpersist |
interface attribute |
defined in interface svt_axi_master_if, |
| bpersist |
interface attribute |
defined in interface svt_axi_slave_if, |
|
BRANCH_PREDICTOR_INVALIDATE |
enum value |
member of svt_amba_pv :: dvm_message_t |
| BRANCH_PREDICTOR_INVALIDATE |
enum value |
member of svt_axi_transaction :: dvm_message_enum |
| BRANCH_PREDICTOR_INVALIDATE |
enum value |
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: dvm_message_enum |
|
bready |
attribute |
attribute type rand bit, defined in class svt_axi_callback_data |
| BREADY |
enum value |
member of svt_axi_callback_data :: signal_enum |
| bready |
interface attribute |
defined in interface svt_axi_master_if, |
| bready |
interface attribute |
defined in interface svt_axi_slave_if, |
| bready |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bready |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
bready_chk |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
| bready_chk |
attribute |
attribute type rand bit, defined in class svt_axi_callback_data |
|
bready_delay |
attribute |
attribute type rand int, defined in class svt_axi_transaction |
|
bready_toggle_cov |
attribute |
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback |
|
bready_watchdog_timeout |
attribute |
attribute type int, defined in class svt_axi_system_configuration |
|
BREADYCHK |
enum value |
member of svt_axi_callback_data :: parity_signal_enum |
| breadychk |
interface attribute |
defined in interface svt_axi_master_if, |
| breadychk |
interface attribute |
defined in interface svt_axi_slave_if, |
| breadychk |
interface attribute |
defined in interface svt_axi_master_param_if, |
|
BREADYCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
break_id |
function arg |
arg type output int, defined in function svt_mem_sa_core_2state :: create_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: remove_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: enable_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: disable_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: is_breakpoint_enabled |
| break_id |
function arg |
arg type output int, defined in function svt_mem_sa_core_4state :: create_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: remove_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: enable_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: disable_breakpoint |
| break_id |
function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: is_breakpoint_enabled |
|
bresp |
attribute |
attribute type rand svt_axi_transaction :: resp_type_enum, defined in class svt_axi_transaction |
| bresp |
interface attribute |
defined in interface svt_axi_master_if, |
| bresp |
interface attribute |
defined in interface svt_axi_slave_if, |
| bresp |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bresp |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
BResp_chan_sample_event |
attribute |
attribute type event, defined in class svt_axi_port_monitor_def_state_cov_data_callback |
|
bresp_chk |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
|
bresp_toggle_cov |
attribute |
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback |
|
bresp_watchdog_timeout |
attribute |
attribute type int unsigned, defined in class svt_axi_system_configuration |
|
brespchk |
interface attribute |
defined in interface svt_axi_master_if, |
| brespchk |
interface attribute |
defined in interface svt_axi_slave_if, |
| brespchk |
interface attribute |
defined in interface svt_axi_master_param_if, |
|
BRESPCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
BROAD |
enum value |
member of uvm_mem_mam :: locality_e |
|
btagmatch |
interface attribute |
defined in interface svt_axi_master_if, |
| btagmatch |
interface attribute |
defined in interface svt_axi_slave_if, |
|
btrace |
interface attribute |
defined in interface svt_axi_master_if, |
| btrace |
interface attribute |
defined in interface svt_axi_slave_if, |
|
btrace_chk |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
|
btracechk |
interface attribute |
defined in interface svt_axi_master_if, |
| btracechk |
interface attribute |
defined in interface svt_axi_slave_if, |
|
BTRACECHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
buff |
function arg |
arg type bit, defined in function svt_amba_pv_extension :: set_bufferable |
| buff |
function arg |
arg type output int, defined in function glboal :: stat |
|
buffer_in_change |
function |
function, defined in class svt_logger,
returns type void |
|
buffer_out_change |
function |
function, defined in class svt_logger,
returns type void |
|
build |
function |
function, defined in class uvm_component,
returns type void |
| build |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| build |
function |
function, defined in class uvm_reg_indirect_data,
returns type void |
| build |
function |
function, defined in class uvm_reg_fifo,
returns type void |
| build |
function |
function, defined in class svt_fsm,
returns type void |
| build |
function |
function, defined in class svt_axi_sysco_interface_fsm,
returns type void |
|
build_coverage |
function |
function, defined in class uvm_reg,
returns type uvm_reg_cvr_t |
| build_coverage |
function |
function, defined in class uvm_reg_block,
returns type uvm_reg_cvr_t |
| build_coverage |
function |
function, defined in class uvm_mem,
returns type uvm_reg_cvr_t |
|
build_ph |
attribute |
attribute type uvm_phase, defined in global |
|
build_phase |
function |
function, defined in class uvm_component,
returns type void |
| build_phase |
function |
function, defined in class uvm_root,
returns type void |
| build_phase |
function |
function, defined in class uvm_sequencer_base,
returns type void |
| build_phase |
function |
function, defined in class uvm_port_component_base,
returns type void |
| build_phase |
function |
function, defined in class uvm_tlm_fifo_base,
returns type void |
| build_phase |
function |
function, defined in class uvm_agent,
returns type void |
| build_phase |
function |
function, defined in class uvm_sequencer_param_base,
returns type void |
| build_phase |
function |
function, defined in class svt_component,
returns type void |
| build_phase |
function |
function, defined in class svt_driver,
returns type void |
| build_phase |
function |
function, defined in class svt_monitor,
returns type void |
| build_phase |
function |
function, defined in class svt_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_env,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_service_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_mem_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_port_monitor,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_master_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_master_snoop_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_slave_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_slave_snoop_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_master,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_system_env,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_master_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_ic_master_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_slave,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_slave_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_interconnect,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_interconnect_env,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_tlm_generic_payload_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_ic_master_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_ic_slave_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_ic_slave_sequencer,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_system_monitor,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_lp_port_monitor,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_lp_master_agent,
returns type void |
| build_phase |
function |
function, defined in class svt_axi_system_sequencer,
returns type void |
|
burst |
function arg |
arg type svt_amba_pv :: burst_t, defined in function svt_amba_pv_extension :: set_burst |
|
burst_enum |
enum typedef |
defined in global |
|
burst_ix |
function arg |
arg type int, defined in function svt_mem_transaction :: get_phys_addr |
|
burst_length |
attribute |
attribute type rand bit [SVT_AXI_MAX_BURST_LENGTH_WIDTH:0], defined in class svt_axi_transaction |
| burst_length |
task arg |
arg type bit [SVT_AXI_MAX_BURST_LENGTH_WIDTH-1:0], defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: start_exclusive_accesses |
|
burst_length_count_m0 |
attribute |
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence |
|
burst_length_count_m1 |
attribute |
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence |
|
burst_length_match_for_non_modifiable_xact_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_system_checker |
|
burst_read |
task |
defined in class uvm_mem |
| burst_read |
task |
defined in class uvm_mem_region |
|
burst_size |
attribute |
attribute type rand svt_axi_transaction :: burst_size_enum, defined in class svt_axi_transaction |
| burst_size |
function arg |
arg type svt_mem_addr_t, defined in function svt_mem_core :: start_access |
| burst_size |
function arg |
arg type svt_mem_addr_t, defined in function svt_mem_core :: end_access |
| burst_size |
task arg |
arg type svt_axi_transaction :: burst_size_enum, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: start_exclusive_accesses |
|
BURST_SIZE_1024BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_128BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_16BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_2048BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_256BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_32BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_4096BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_512BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_64BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
BURST_SIZE_8BIT |
enum value |
member of svt_axi_transaction :: burst_size_enum |
|
burst_size_enum |
enum typedef |
defined in class svt_axi_transaction |
|
burst_size_int |
attribute |
attribute type int, defined in class svt_axi4_lite_master_random_sequence |
|
burst_size_match_for_non_modifiable_xact_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_system_checker |
|
burst_t |
enum typedef |
defined in class svt_amba_pv |
|
burst_type |
attribute |
attribute type rand svt_axi_transaction :: burst_type_enum, defined in class svt_axi_transaction |
| burst_type |
task arg |
arg type svt_axi_transaction :: burst_type_enum, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: start_exclusive_accesses |
|
burst_type_enum |
enum typedef |
defined in class svt_axi_transaction |
|
burst_type_match_for_non_modifiable_xact_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_system_checker |
|
burst_write |
task |
defined in class uvm_mem |
| burst_write |
task |
defined in class uvm_mem_region |
|
bus2reg |
function |
function, defined in class uvm_reg_adapter,
returns type void |
| bus2reg |
function |
function, defined in class uvm_reg_tlm_adapter,
returns type void |
| bus2reg |
function |
function, defined in class svt_axi_reg_adapter,
returns type void |
|
bus_activity_type_name |
attribute |
attribute type string, defined in class svt_axi_transaction |
|
bus_in |
attribute |
attribute type uvm_analysis_imp, defined in class uvm_reg_predictor |
|
bus_inactivity_timeout |
attribute |
attribute type int, defined in class svt_axi_system_configuration |
|
bus_item |
function arg |
arg type uvm_sequence_item, defined in function uvm_reg_adapter :: bus2reg |
| bus_item |
function arg |
arg type uvm_sequence_item, defined in function uvm_reg_tlm_adapter :: bus2reg |
| bus_item |
function arg |
arg type uvm_sequence_item, defined in function svt_axi_reg_adapter :: bus2reg |
|
bus_parent_uid |
attribute |
attribute type string, defined in class svt_axi_transaction |
| bus_parent_uid |
attribute |
attribute type string, defined in class svt_axi_snoop_transaction |
|
buser |
interface attribute |
defined in interface svt_axi_master_if, |
| buser |
interface attribute |
defined in interface svt_axi_slave_if, |
| buser |
interface attribute |
defined in interface svt_axi_master_param_if, |
| buser |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
buser_chk |
attribute |
attribute type rand bit [3:0] , defined in class svt_axi_transaction |
|
buser_enable |
attribute |
attribute type rand bit, defined in class svt_axi_port_configuration |
|
buser_toggle_cov |
attribute |
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback |
|
buserchk |
interface attribute |
defined in interface svt_axi_master_if, |
| buserchk |
interface attribute |
defined in interface svt_axi_slave_if, |
| buserchk |
interface attribute |
defined in interface svt_axi_master_param_if, |
|
BUSERCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
busy |
function arg |
arg type bit, defined in function uvm_reg :: Xset_busyX |
|
busy_indicator_support |
attribute |
attribute type svt_axi_port_configuration :: subordinate_busy_indicator_support_enum, defined in class svt_axi_port_configuration |
|
BUSY_SUPPORT_FALSE |
enum value |
member of svt_axi_port_configuration :: subordinate_busy_indicator_support_enum |
|
BUSY_SUPPORT_TRUE |
enum value |
member of svt_axi_port_configuration :: subordinate_busy_indicator_support_enum |
|
BVALID |
enum value |
member of svt_axi_transaction :: reference_event_for_bready_delay_enum |
| bvalid |
attribute |
attribute type rand bit, defined in class svt_axi_callback_data |
| BVALID |
enum value |
member of svt_axi_callback_data :: signal_enum |
| bvalid |
interface attribute |
defined in interface svt_axi_master_if, |
| bvalid |
interface attribute |
defined in interface svt_axi_slave_if, |
| bvalid |
interface attribute |
defined in interface svt_axi_master_param_if, |
| bvalid |
interface attribute |
defined in interface svt_axi_slave_param_if, |
|
bvalid_chk |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
| bvalid_chk |
attribute |
attribute type rand bit, defined in class svt_axi_callback_data |
|
bvalid_delay |
attribute |
attribute type rand int, defined in class svt_axi_transaction |
| bvalid_delay |
attribute |
attribute type int, defined in class svt_axi_slave_memory_sequence |
|
bvalid_interrupted_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
bvalid_low_when_reset_is_active_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_axi_checker |
|
bvalid_toggle_cov |
attribute |
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback |
|
BVALIDCHK |
enum value |
member of svt_axi_callback_data :: parity_signal_enum |
| bvalidchk |
interface attribute |
defined in interface svt_axi_master_if, |
| bvalidchk |
interface attribute |
defined in interface svt_axi_slave_if, |
| bvalidchk |
interface attribute |
defined in interface svt_axi_master_param_if, |
|
BVALIDCHK_EN |
enum value |
member of svt_axi_transaction :: user_inject_parity_signal_enum |
|
bw_export |
attribute |
attribute type uvm_tlm_nb_transport_bw_export, defined in class uvm_tlm_nb_passthrough_initiator_socket_base |
|
bw_imp |
attribute |
attribute type uvm_tlm_nb_transport_bw_imp, defined in class uvm_tlm_nb_initiator_socket |
|
bw_port |
attribute |
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_target_socket_base |
| bw_port |
attribute |
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_passthrough_target_socket_base |
|
bypass_cache_initialisation |
attribute |
attribute type bit, defined in class svt_axi_ace_master_two_port_overlapping_addr_store_sequential_sequence |
| bypass_cache_initialisation |
attribute |
attribute type bit, defined in class svt_axi_ace_master_two_port_overlapping_addr_load_cmo_sequential_sequence |
| bypass_cache_initialisation |
attribute |
attribute type bit, defined in class svt_axi_ace_master_two_port_overlapping_addr_store_and_load_sequential_sequence |
| bypass_cache_initialisation |
attribute |
attribute type bit, defined in class svt_axi_ace_master_two_port_overlapping_addr_cmo_and_store_sequential_sequence |
|
bypass_cache_lookup |
attribute |
attribute type rand bit, defined in class svt_axi_transaction |
|
bypass_cache_update |
attribute |
attribute type bit, defined in class svt_axi_transaction |
|
bypass_check |
function arg |
arg type ref bit, defined in function svt_axi_system_monitor_callback :: readonce_xact_with_overlapped_writeback_writeclean_xacts_at_eos |
|
bypass_invalidation |
attribute |
attribute type int, defined in class svt_axi_ace_master_base_virtual_sequence |
|
bypass_parent_virtual_seq_check |
attribute |
attribute type bit, defined in class svt_axi_ace_master_base_sequence |
|
byte_addressing |
function arg |
arg type bit, defined in function uvm_reg_block :: create_map |
| byte_addressing |
function arg |
arg type bit, defined in function uvm_reg_map :: configure |
|
byte_boundary_for_master_xact_split |
attribute |
attribute type rand int, defined in class svt_axi_port_configuration |
|
byte_count |
attribute |
attribute type int, defined in class svt_amba_perf_calc_base |
|
byte_en |
function arg |
arg type uvm_reg_data_t, defined in function uvm_reg :: sample |
| byte_en |
function arg |
arg type uvm_reg_data_t, defined in function uvm_reg :: XsampleX |
|
byte_name |
function arg |
arg type output string, defined in function svt_data_converter :: get_code_group |
|
byte_size |
attribute |
attribute type byte, defined in class uvm_packer |
|
BYTE_STREAM |
enum value |
member of svt_axi_transaction :: stream_xact_type_enum |
|
byteen |
function arg |
arg type bit [SVT_MEM_MAX_DATA_WIDTH/8-1:0], defined in function svt_mem_word :: write |
| byteen |
function arg |
arg type bit [(SVT_MEM_MAX_DATA_WIDTH/8-1):0], defined in function svt_mem :: write |
| byteen |
function arg |
arg type input bit, defined in function svt_axi_transaction :: populate_partial_data_and_byteen |
| byteen |
function arg |
arg type bit, defined in function svt_axi_cache_line :: write |
| byteen |
function arg |
arg type bit, defined in function svt_axi_cache :: write |
| byteen |
function arg |
arg type bit, defined in function svt_axi_cache :: backdoor_write |
| byteen |
function arg |
arg type bit, defined in function svt_axi_passive_cache :: write |
|
bytes |
function arg |
arg type ref byte unsigned, defined in function uvm_packer :: get_bytes |
|
bytestream |
function arg |
arg type ref byte unsigned, defined in function uvm_packer :: put_bytes |
| bytestream |
function arg |
arg type ref byte unsigned, defined in function uvm_object :: pack_bytes |
| bytestream |
function arg |
arg type ref byte unsigned, defined in function uvm_object :: unpack_bytes |