How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| h1 | function arg |
arg type integer, defined in function uvm_recorder :: link_tr |
| h2 | function arg |
arg type integer, defined in function uvm_recorder :: link_tr |
| haddr | interface attribute |
defined in interface svt_ahb_master_if, |
| haddr | interface attribute |
defined in interface svt_ahb_slave_if, |
| haddr_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| haddr_bus | interface attribute |
defined in interface svt_ahb_if, |
| haddr_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| haddr_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| handle | attribute |
attribute type static int, defined in class uvm_recorder |
| handle | function arg |
arg type integer, defined in function uvm_recorder :: check_handle_kind |
| handle | function arg |
arg type integer, defined in function uvm_recorder :: end_tr |
| handle | function arg |
arg type integer, defined in function uvm_recorder :: free_tr |
| handler | function arg |
arg type uvm_report_handler, defined in function uvm_report_object :: set_report_handler |
| handshake_cycle | function |
function, defined in class svt_axi_port_monitor_def_cov_data_callback, returns type int |
| hardware_protection_during_retry | attribute |
attribute type bit, defined in class svt_ahb_slave_configuration |
| has_axi_exception | function |
function, defined in class svt_axi_transaction, returns type bit |
| has_child | function |
function, defined in class uvm_component, returns type int |
| has_cover | function arg |
arg type int, defined in function uvm_reg_indirect_data :: new |
| has_cover | function arg |
arg type int, defined in function uvm_reg_fifo :: new |
| has_coverage | function arg |
arg type int, defined in function uvm_reg :: new |
| has_coverage | function |
function, defined in class uvm_reg, returns type bit |
| has_coverage | function arg |
arg type int, defined in function uvm_reg_block :: new |
| has_coverage | function |
function, defined in class uvm_reg_block, returns type bit |
| has_coverage | function arg |
arg type int, defined in function uvm_mem :: new |
| has_coverage | function |
function, defined in class uvm_mem, returns type bit |
| has_do_available | function |
function, defined in class uvm_sequencer_base, returns type bit |
| has_do_available | function |
function, defined in class uvm_sqr_if_base, returns type bit |
| has_do_available | function |
function, defined in class uvm_seq_item_pull_port, returns type bit |
| has_do_available | function |
function, defined in class uvm_seq_item_pull_export, returns type bit |
| has_do_available | function |
function, defined in class uvm_seq_item_pull_imp, returns type bit |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_bit |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_bit_vector |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_logic_vector |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_int |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_real |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_time |
| has_expected | function arg |
arg type bit, defined in function svt_vip_writer :: set_object_field_value_string |
| has_force_cb_save_to_fsdb_type | function |
function, defined in class svt_debug_opts, returns type bit |
| has_hdl_path | function |
function, defined in class uvm_reg, returns type bit |
| has_hdl_path | function |
function, defined in class uvm_reg_file, returns type bit |
| has_hdl_path | function |
function, defined in class uvm_reg_block, returns type bit |
| has_hdl_path | function |
function, defined in class uvm_mem, returns type bit |
| has_lock | function |
function, defined in class uvm_sequencer_base, returns type bit |
| has_lock | function |
function, defined in class uvm_sequence_base, returns type bit |
| has_multiple_correlated_xacts | function |
function, defined in class svt_axi_system_transaction, returns type bit |
| has_one_to_one_mapping | attribute |
attribute type bit, defined in class svt_axi_system_transaction |
| has_parent | function arg |
arg type bit, defined in function uvm_component :: m_begin_tr |
| has_parent | function arg |
arg type bit, defined in function uvm_transaction :: m_begin_tr |
| has_regex_name | function |
function, defined in class uvm_resource_base, returns type bit |
| has_reset | function arg |
arg type bit, defined in function uvm_reg_field :: configure |
| has_reset | function |
function, defined in class uvm_reg_field, returns type bit |
| has_reset | function |
function, defined in class uvm_reg, returns type bit |
| has_update_threads | function |
function, defined in class uvm_reg_backdoor, returns type bit |
| hazard_in_progress_xact | function arg |
arg type svt_sequence_item, defined in function svt_chi_system_monitor_callback :: pre_hazard_check_execute |
| hburst | interface attribute |
defined in interface svt_ahb_master_if, |
| hburst | interface attribute |
defined in interface svt_ahb_slave_if, |
| hburst_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hburst_bus | interface attribute |
defined in interface svt_ahb_if, |
| hburst_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hburst_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hbusreq | interface attribute |
defined in interface svt_ahb_master_if, |
| hbusreq_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hclk | interface attribute |
defined in interface svt_ahb_master_if, |
| hclk | interface attribute |
defined in interface svt_ahb_if, |
| hdl_cmd_phase | attribute |
attribute type protected uvm_phase, defined in class svt_component |
| hdl_cmd_phase | attribute |
attribute type protected uvm_phase, defined in class svt_driver |
| hdl_cmd_phase | attribute |
attribute type protected uvm_phase, defined in class svt_monitor |
| hdl_cmd_phase | attribute |
attribute type protected uvm_phase, defined in class svt_agent |
| hdl_cmd_phase | attribute |
attribute type protected uvm_phase, defined in class svt_env |
| hdl_path | function arg |
arg type string, defined in function uvm_reg :: configure |
| hdl_path | function arg |
arg type string, defined in function uvm_reg_file :: configure |
| hdl_path | function arg |
arg type string, defined in function uvm_reg_block :: configure |
| hdl_path | function arg |
arg type string, defined in function uvm_mem :: configure |
| hdr_only | function arg |
arg type bit, defined in function svt_sequence_item :: psdisplay_short_via_pattern |
| hdr_only | function arg |
arg type bit, defined in function svt_sequence_item :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_8b10b_data :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_configuration :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_system_configuration :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_slave_configuration :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_master_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_apb_slave_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_ahb_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_axi_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_axi_barrier_pair_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_axi_service :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_axi_snoop_transaction :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_chi_protocol_service :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_chi_link_service :: psdisplay_short |
| hdr_only | function arg |
arg type bit, defined in function svt_chi_system_transaction :: psdisplay_short |
| header | attribute |
attribute type bit, defined in class uvm_printer_knobs |
| hex_radix | attribute |
attribute type string, defined in class uvm_printer_knobs |
| hgrant | interface attribute |
defined in interface svt_ahb_master_if, |
| hgrant_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hi_addr | function arg |
arg type output bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in function svt_apb_system_configuration :: get_slave_addr_range |
| hi_addr | function arg |
arg type output bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in function svt_ahb_system_configuration :: get_slave_addr_range |
| hi_addr | attribute |
attribute type bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_base_sequence |
| hi_addr | function arg |
arg type output bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_system_configuration :: get_slave_addr_range |
| hi_addr | attribute |
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_random_sequence |
| hi_addr | attribute |
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_write_same_slave_sequence |
| hi_addr | attribute |
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_read_same_slave_sequence |
| hi_addr | attribute |
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_master_normal_exclusive_random_sequence |
| hier | function arg |
arg type int, defined in function uvm_component :: set_domain |
| hier | function arg |
arg type int, defined in function uvm_component :: set_phase_imp |
| hier | function arg |
arg type bit, defined in function uvm_phase :: get_schedule |
| hier | function arg |
arg type bit, defined in function uvm_phase :: get_schedule_name |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_blocks |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_registers |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_fields |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_memories |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_virtual_registers |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_block :: get_virtual_fields |
| hier | function arg |
arg type uvm_hier_e, defined in function uvm_reg_map :: get_base_addr |
| hier | function arg |
arg type uvm_hier_e, defined in function uvm_reg_map :: get_n_bytes |
| hier | function arg |
arg type uvm_hier_e, defined in function uvm_reg_map :: get_endian |
| hier | function arg |
arg type uvm_hier_e, defined in function uvm_reg_map :: get_sequencer |
| hier | function arg |
arg type uvm_hier_e, defined in function uvm_reg_map :: get_adapter |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_submaps |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_registers |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_fields |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_memories |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_virtual_registers |
| hier | function arg |
arg type input uvm_hier_e, defined in function uvm_reg_map :: get_virtual_fields |
| high_index | function arg |
arg type int, defined in function svt_axi_cache :: get_least_recently_used |
| high_index | function arg |
arg type int, defined in function svt_axi_cache :: get_any_index |
| HINT | enum value |
member of svt_amba_pv :: dvm_message_t |
| HINT | enum value |
member of svt_axi_transaction :: dvm_message_enum |
| HINT | enum value |
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: dvm_message_enum |
| hlock | interface attribute |
defined in interface svt_ahb_master_if, |
| hlock_asserted_during_non_locked_xact | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hlock_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hmaster | attribute |
attribute type bit [SVT_AHB_HMASTER_PORT_WIDTH-1:0], defined in class svt_ahb_slave_transaction |
| hmaster | interface attribute |
defined in interface svt_ahb_slave_if, |
| hmaster_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hmaster_bus | interface attribute |
defined in interface svt_ahb_if, |
| hmaster_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hmastlock | interface attribute |
defined in interface svt_ahb_slave_if, |
| hmastlock_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hmastlock_bus | interface attribute |
defined in interface svt_ahb_if, |
| hmastlock_changed_during_incr | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker |
| hmastlock_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| HN | enum value |
member of svt_chi_node_configuration :: chi_node_type_enum |
| hn_addr_rand_type_enum | enum typedef |
defined in class svt_chi_rn_transaction_base_sequence |
| hn_addr_ranges | attribute |
attribute type svt_chi_hn_addr_range, defined in class svt_chi_address_configuration |
| HN_F | enum value |
member of svt_chi_address_configuration :: hn_interface_type_enum |
| HN_F | enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_hn_type_enum |
| hn_f_idx_q | attribute |
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback |
| hn_f_idx_q | attribute |
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| hn_f_node_indices | attribute |
attribute type int, defined in class svt_chi_address_configuration |
| hn_f_node_indices | attribute |
attribute type int, defined in class svt_chi_node_configuration |
| HN_I | enum value |
member of svt_chi_address_configuration :: hn_interface_type_enum |
| HN_I | enum value |
member of svt_chi_system_protocol_flow_ctrl_exclusive_access_virtual_sequence :: select_hn_type_enum |
| hn_i_idx_q | attribute |
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback |
| hn_i_idx_q | attribute |
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| hn_i_node_indices | attribute |
attribute type int, defined in class svt_chi_address_configuration |
| hn_i_node_indices | attribute |
attribute type int, defined in class svt_chi_node_configuration |
| hn_idx | attribute |
attribute type int, defined in class svt_chi_hn_status |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_hn_snoop_filter_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_hn_snoop_filter_based_snooping_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_hn_l3_cache_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_hn_invisible_cache_mode_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: set_hn_addr_range |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: get_hn_addr_ranges_for_hn_idx |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: get_hn_start_addr |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: get_hn_end_addr |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: get_hn_node_id |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: get_hn_interface_type |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: set_sn_to_hn_map |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_dmt_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_dct_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_stash_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_stash_data_pull_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_ord_stash_data_pull_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_forward_cmos_to_slaves_enable |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_forward_persist_cmos_to_slaves_enable |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: set_hn_atomic_xact_propagation_to_slave_policy |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_memory_tagging_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_dwt_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_system_configuration :: is_sep_rd_data_sep_rsp_enabled |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: set_hn_addr_range |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: get_hn_addr_ranges_for_hn_idx |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: get_hn_start_addr |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: get_hn_end_addr |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: get_hn_node_id |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: get_hn_interface_type |
| hn_idx | function arg |
arg type int, defined in function svt_chi_address_configuration :: set_sn_to_hn_map |
| hn_idx_flag | attribute |
attribute type protected int, defined in class svt_chi_node_protocol_monitor_def_cov_data_callback |
| hn_index | attribute |
attribute type int unsigned, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| hn_index_status | attribute |
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence |
| hn_indices | function arg |
arg type output int, defined in function svt_chi_system_configuration :: get_hn_node_indices_of_hn_type |
| hn_interface_type | attribute |
attribute type svt_chi_address_configuration :: hn_interface_type_enum, defined in class svt_chi_hn_status |
| hn_interface_type | attribute |
attribute type svt_chi_address_configuration :: hn_interface_type_enum, defined in class svt_chi_address_configuration |
| hn_interface_type | attribute |
attribute type svt_chi_address_configuration :: hn_interface_type_enum, defined in class svt_chi_system_transaction |
| hn_interface_type_enum | enum typedef |
defined in class svt_chi_address_configuration |
| hn_map | attribute |
attribute type int, defined in class svt_chi_node_configuration |
| hn_node_id | attribute |
attribute type int, defined in class svt_chi_hn_status |
| hn_node_id | attribute |
attribute type int, defined in class svt_chi_address_configuration |
| hn_node_id | attribute |
attribute type bit [(SVT_CHI_SRC_ID_WIDTH-1):0], defined in class svt_chi_system_transaction |
| hn_node_idx | attribute |
attribute type rand bit [SVT_CHI_HN_NODE_IDX_WIDTH-1:0], defined in class svt_chi_transaction |
| hn_node_idx | attribute |
attribute type int, defined in class svt_chi_system_transaction |
| hn_node_idx | attribute |
attribute type int, defined in class svt_chi_system_monitor_def_cov_callback |
| hn_node_idx | attribute |
attribute type int, defined in class svt_chi_system_monitor_issue_e_def_cov_callback |
| hn_num_cache_lines | function arg |
arg type int, defined in function svt_chi_system_configuration :: set_hn_num_cache_lines |
| hn_retry_to_rn_req_rate | attribute |
attribute type real, defined in class svt_chi_hn_status |
| hn_sys_cfg | attribute |
attribute type svt_chi_system_configuration, defined in class svt_chi_system_transaction |
| hn_to_rn_data_bw | attribute |
attribute type real, defined in class svt_chi_hn_status |
| hn_to_sn_data_bw | attribute |
attribute type real, defined in class svt_chi_hn_status |
| hn_type | function arg |
arg type svt_chi_address_configuration :: hn_interface_type_enum, defined in function svt_chi_system_configuration :: get_hn_addr_ranges_for_hn_type |
| hn_type | function arg |
arg type input string, defined in function svt_chi_system_configuration :: get_hn_node_indices_of_hn_type |
| hn_type | function arg |
arg type input string, defined in function svt_chi_system_configuration :: get_random_hn_node_index_of_hn_type |
| hn_type | function arg |
arg type svt_chi_address_configuration :: hn_interface_type_enum, defined in function svt_chi_address_configuration :: get_hn_addr_ranges_for_hn_type |
| hnonsec | interface attribute |
defined in interface svt_ahb_master_if, |
| hnonsec | interface attribute |
defined in interface svt_ahb_slave_if, |
| hnonsec_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hnonsec_bus | interface attribute |
defined in interface svt_ahb_if, |
| home_nid | attribute |
attribute type bit [(SVT_CHI_HOME_NID_WIDTH-1):0], defined in class svt_chi_common_transaction |
| hprot | interface attribute |
defined in interface svt_ahb_master_if, |
| hprot | interface attribute |
defined in interface svt_ahb_slave_if, |
| hprot_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hprot_bus | interface attribute |
defined in interface svt_ahb_if, |
| hprot_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hprot_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hrdata | interface attribute |
defined in interface svt_ahb_master_if, |
| hrdata | interface attribute |
defined in interface svt_ahb_slave_if, |
| hrdata_bus | interface attribute |
defined in interface svt_ahb_master_if, |
| hrdata_bus | interface attribute |
defined in interface svt_ahb_if, |
| hrdata_event | attribute |
attribute type event, defined in class svt_ahb_master_monitor_def_state_cov_data_callbacks |
| hrdata_event | attribute |
attribute type event, defined in class svt_ahb_slave_monitor_def_state_cov_data_callbacks |
| hrdata_huser | interface attribute |
defined in interface svt_ahb_master_if, |
| hrdata_huser | interface attribute |
defined in interface svt_ahb_slave_if, |
| hrdata_huser_bus | interface attribute |
defined in interface svt_ahb_master_if, |
| hrdata_huser_bus | interface attribute |
defined in interface svt_ahb_if, |
| hrdata_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hrdata_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hready | interface attribute |
defined in interface svt_ahb_master_if, |
| hready | interface attribute |
defined in interface svt_ahb_slave_if, |
| hready_bus | interface attribute |
defined in interface svt_ahb_master_if, |
| hready_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hready_bus | interface attribute |
defined in interface svt_ahb_if, |
| hready_in | interface attribute |
defined in interface svt_ahb_slave_if, |
| hready_in_sampled | function |
function, defined in class svt_ahb_slave_monitor_callback, returns type void |
| hready_in_sampled | function |
function, defined in class svt_ahb_slave_monitor_def_cov_data_callback, returns type void |
| hready_in_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hready_out_from_bus_high_during_reset | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hready_out_from_slave_not_X_or_Z_during_reset | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hready_out_from_slave_not_X_or_Z_when_data_phase_not_pending | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hready_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hready_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hresetn | interface attribute |
defined in interface svt_ahb_master_if, |
| hresetn | interface attribute |
defined in interface svt_ahb_if, |
| hresp | interface attribute |
defined in interface svt_ahb_master_if, |
| hresp | interface attribute |
defined in interface svt_ahb_slave_if, |
| hresp_bus | interface attribute |
defined in interface svt_ahb_master_if, |
| hresp_bus | interface attribute |
defined in interface svt_ahb_if, |
| hresp_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hresp_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hsel | attribute |
attribute type bit, defined in class svt_ahb_slave_transaction |
| hsel | interface attribute |
defined in interface svt_ahb_slave_if, |
| hsel_idx | attribute |
attribute type bit [SVT_AHB_MAX_HSEL_WIDTH-1:0], defined in class svt_ahb_slave_multi_hsel_addr_range |
| hsel_idx | function arg |
arg type bit [SVT_AHB_MAX_HSEL_WIDTH-1:0], defined in function svt_ahb_system_configuration :: set_hsel_addr_range |
| hsel_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hsize | interface attribute |
defined in interface svt_ahb_master_if, |
| hsize | interface attribute |
defined in interface svt_ahb_slave_if, |
| hsize_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hsize_bus | interface attribute |
defined in interface svt_ahb_if, |
| hsize_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hsize_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hsize_too_big_for_data_width | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hsplit | interface attribute |
defined in interface svt_ahb_slave_if, |
| hsplit_asserted_for_non_split_master | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hsplit_asserted_for_one_cycle | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hsplit_bus | interface attribute |
defined in interface svt_ahb_if, |
| hsplit_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| htrans | interface attribute |
defined in interface svt_ahb_master_if, |
| htrans | interface attribute |
defined in interface svt_ahb_slave_if, |
| htrans_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| htrans_bus | interface attribute |
defined in interface svt_ahb_if, |
| htrans_changed_during_wait_state | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_changed_with_hready_low | function |
function, defined in class svt_ahb_master_monitor_callback, returns type void |
| htrans_changed_with_hready_low | function |
function, defined in class svt_ahb_master_monitor_def_cov_data_callback, returns type void |
| htrans_event | attribute |
attribute type event, defined in class svt_ahb_master_monitor_def_state_cov_data_callbacks |
| htrans_event | attribute |
attribute type event, defined in class svt_ahb_slave_monitor_def_state_cov_data_callbacks |
| htrans_idle_during_reset | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_not_changed_to_idle_during_error | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_not_changed_to_idle_during_retry | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_not_changed_to_idle_during_split | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_not_idle_or_nseq_during_no_grant | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| htrans_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| htrans_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| htype | function arg |
arg type string, defined in function uvm_recorder :: check_handle_kind |
| hunalign_changed_during_transfer | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hwdata | interface attribute |
defined in interface svt_ahb_master_if, |
| hwdata | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwdata_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwdata_bus | interface attribute |
defined in interface svt_ahb_if, |
| hwdata_changed_during_wait_state | attribute |
attribute type svt_err_check_stats, defined in class svt_ahb_checker |
| hwdata_event | attribute |
attribute type event, defined in class svt_ahb_master_monitor_def_state_cov_data_callbacks |
| hwdata_event | attribute |
attribute type event, defined in class svt_ahb_slave_monitor_def_state_cov_data_callbacks |
| hwdata_huser | interface attribute |
defined in interface svt_ahb_master_if, |
| hwdata_huser | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwdata_huser_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwdata_huser_bus | interface attribute |
defined in interface svt_ahb_if, |
| hwdata_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hwdata_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| hwrite | interface attribute |
defined in interface svt_ahb_master_if, |
| hwrite | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwrite_bus | interface attribute |
defined in interface svt_ahb_slave_if, |
| hwrite_bus | interface attribute |
defined in interface svt_ahb_if, |
| hwrite_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks |
| hwrite_toggle_cov | attribute |
attribute type svt_toggle_cov_bit, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks |
| HYBRID_DATA_FORMAT | enum value |
member of svt_chi_node_configuration :: chi_data_format_enum |
| HYPERVISOR | enum value |
member of svt_amba_pv :: dvm_os_t |
| HYPERVISOR | enum value |
member of svt_axi_transaction :: dvm_os_enum |
| HYPERVISOR_OR_GUEST | enum value |
member of svt_amba_pv :: dvm_os_t |
| HYPERVISOR_OR_GUEST | enum value |
member of svt_axi_transaction :: dvm_os_enum |
| HYPERVISOR_TLB_INVALIDATE_ALL | enum value |
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: tlb_operations_enum |
| HYPERVISOR_TLB_INVALIDATE_BY_VA | enum value |
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: tlb_operations_enum |
| HYPERVISOR_TLB_INVALIDATE_BY_VA_LEAF_ENTRY | enum value |
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: tlb_operations_enum |