VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA SVT UVM Documentation - index - a

a
function arg
arg type T, defined in function uvm_built_in_comp :: comp
a
function arg
arg type input T, defined in function uvm_class_comp :: comp
abf_enable
attribute
attribute type bit, defined in class svt_chi_system_configuration
abf_flush_count
attribute
attribute type int, defined in class svt_chi_hn_status
abort
function
function, defined in class svt_fsm,  returns type void
abort
function
function, defined in class svt_fsm_callback,  returns type void
abort
function arg
arg type bit, defined in function svt_axi_snoop_transaction :: set_end_of_transaction
ABORT_ON_ERROR
enum value
member of svt_ahb_system_configuration :: error_response_policy_enum
ABORT_ON_ERROR_WITH_REBUILD
enum value
member of svt_ahb_system_configuration :: error_response_policy_enum
ABORT_STATE
enum value
member of svt_apb_transaction :: xact_state_enum
ABORTED
enum value
member of svt_sequence_item :: status_enum
aborted
function arg
arg type bit, defined in function svt_axi_transaction :: set_end_of_transaction
aborted
function arg
arg type bit, defined in function svt_chi_transaction :: set_end_of_transaction
aborted
function arg
arg type bit, defined in function svt_chi_snoop_transaction :: set_end_of_transaction
ABORTED_DUE_TO_ERROR_RESP
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
ABORTED_DUE_TO_LOSS_OF_GRANT
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
ABORTED_DUE_TO_RESET
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
ABORTED_DUE_TO_RETRY_RESP
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
ABORTED_DUE_TO_SPLIT_RESP
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
ABORTED_DUE_TO_XFAIL_RESP
enum value
member of svt_ahb_transaction :: aborted_xact_status_enum
aborted_xact_status
attribute
attribute type svt_ahb_transaction :: aborted_xact_status_enum, defined in class svt_ahb_transaction
aborted_xact_status_enum
enum typedef
defined in class svt_ahb_transaction
abstract
attribute
attribute type bit, defined in class uvm_comparer
abstract
attribute
attribute type bit, defined in class uvm_packer
abstract
attribute
attribute type bit, defined in class uvm_recorder
abstract
function arg
arg type bit, defined in function svt_comparer :: new
abstractions
attribute
attribute type string, defined in class uvm_reg_mem_hdl_paths_seq
acaddr
function arg
arg type bit [SVT_AXI_ACE_SNOOP_ADDR_WIDTH-1:0], defined in function svt_axi_snoop_transaction :: calculate_parity_for_acaddr
acaddr
function arg
arg type bit [SVT_AXI_ACE_SNOOP_ADDR_WIDTH-1:0], defined in function svt_axi_snoop_transaction :: calculate_acaddr
acaddr_aligned_to_cddata_width_valid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acaddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_snoop_transaction
acaddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
ACADDRCHK_EN
enum value
member of svt_axi_snoop_transaction :: user_inject_parity_signal_enum
acaddrchk_parity_value
attribute
attribute type bit [7:0] , defined in class svt_axi_snoop_transaction
ACCEPT
enum value
member of svt_sequence_item :: status_enum
accept_time
function arg
arg type time, defined in function uvm_component :: accept_tr
accept_time
function arg
arg type time, defined in function uvm_transaction :: accept_tr
accept_tr
function
function, defined in class uvm_component,  returns type void
accept_tr
function
function, defined in class uvm_transaction,  returns type void
access
attribute
attribute type access_t, defined in class uvm_resource_base
access
function arg
arg type string, defined in function uvm_reg_field :: configure
access
function arg
arg type string, defined in function uvm_mem :: new
access_length
task arg
arg type int, defined in task svt_axi_ace_master_two_port_base_sequential_virtual_sequence :: execute_cache_initialization_for_generic_sequential_access
access_length
task arg
arg type int, defined in task svt_axi_ace_master_two_port_base_sequential_virtual_sequence :: execute_cache_initialization_for_sequential_load_access
access_length
task arg
arg type int, defined in task svt_axi_ace_master_two_port_base_sequential_virtual_sequence :: execute_cache_initialization_for_memory_update
access_length
task arg
arg type int, defined in task svt_axi_ace_master_two_port_base_sequential_virtual_sequence :: execute_cache_initialization_for_cmo_store
access_phase
function
function, defined in class svt_apb_master_monitor,  returns type void
access_phase
function
function, defined in class svt_apb_master_monitor_callback,  returns type void
access_phase
function
function, defined in class svt_apb_master_monitor_def_toggle_cov_data_callback,  returns type void
access_phase
function
function, defined in class svt_apb_slave_monitor,  returns type void
access_phase
function
function, defined in class svt_apb_slave_monitor_callback,  returns type void
access_phase
function
function, defined in class svt_apb_slave_monitor_def_toggle_cov_data_callback,  returns type void
access_record
function arg
arg type inout access_t, defined in function uvm_resource_base :: init_access_record
ACCESS_STATE
enum value
member of svt_apb_transaction :: xact_state_enum
access_t
struct typedef
defined in class uvm_resource_types
access_to_access
attribute
attribute type svt_err_check_stats, defined in class svt_apb_checker
accessor
function arg
arg type uvm_object, defined in function uvm_resource_base :: record_read_access
accessor
function arg
arg type uvm_object, defined in function uvm_resource_base :: record_write_access
accessor
function arg
arg type uvm_object, defined in function uvm_resource :: read
accessor
function arg
arg type uvm_object, defined in function uvm_resource :: write
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: m_show_msg
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: set
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: set_anonymous
accessor
function arg
arg type uvm_object, defined in function uvm_resource_db :: set_override
accessor
function arg
arg type uvm_object, defined in function uvm_resource_db :: set_override_type
accessor
function arg
arg type uvm_object, defined in function uvm_resource_db :: set_override_name
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: read_by_name
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: read_by_type
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: write_by_name
accessor
function arg
arg type input uvm_object, defined in function uvm_resource_db :: write_by_type
accessor
function arg
arg type uvm_object, defined in function uvm_reg :: include_coverage
accessor
function arg
arg type input uvm_object, defined in function uvm_reg_block :: find_blocks
accessor
function arg
arg type input uvm_object, defined in function uvm_reg_block :: find_block
acctl_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
ACCTLCHK_EN
enum value
member of svt_axi_snoop_transaction :: user_inject_parity_signal_enum
acctlchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
acctlchk_parity_value
attribute
attribute type bit, defined in class svt_axi_snoop_transaction
ace5_valid_ranges
constraint
defined in class svt_axi_transaction
ace_coh_xact_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_coherent_and_ace_snoop_response_association_cov_sample
function
function, defined in class svt_axi_port_monitor_def_cov_callback,  returns type void
ace_domain_ports
function arg
arg type ref int, defined in function svt_axi_ace_master_two_port_base_sequential_virtual_sequence :: set_initialisation_ports
ace_exclusive
task arg
arg type bit, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: directed_exclusive_sequence
ace_exclusive_select
attribute
attribute type rand int, defined in class svt_axi_ace_master_exclusive_access_virtual_sequence
ACE_LITE
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
ace_lite_coh_xact_id
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_t1_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_t2_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coherent_and_ace_snoop_response_association_cov_sample
function
function, defined in class svt_axi_port_monitor_def_cov_callback,  returns type void
ace_lite_coherent_and_ace_snoop_response_association_with_specific_id
function
function, defined in class svt_axi_port_monitor_def_cov_callback,  returns type void
ace_lite_master_port_id
function arg
arg type int, defined in function svt_amba_system_configuration :: set_ace_lite_to_rn_i_map
ace_lite_master_snoop_xact_type
attribute
attribute type protected svt_axi_snoop_transaction :: snoop_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ACE_LITE_ONLY
enum value
member of svt_axi_port_configuration :: force_xact_to_cache_line_size_interface_type_enum
ace_lite_ports
attribute
attribute type int, defined in class svt_axi_ace_master_base_virtual_sequence
ace_master_final_cache_state
attribute
attribute type protected svt_axi_snoop_transaction :: cache_line_state_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_master_init_cache_state
attribute
attribute type protected svt_axi_snoop_transaction :: cache_line_state_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_master_snoop_xact_type
attribute
attribute type protected svt_axi_snoop_transaction :: snoop_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_master_transaction_valid_ranges
constraint
defined in class svt_axi_master_transaction
ace_port_id
task arg
arg type int, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: directed_exclusive_sequence
ace_ports
attribute
attribute type int, defined in class svt_axi_ace_master_base_virtual_sequence
ace_slave_transaction_valid_ranges
constraint
defined in class svt_axi_slave_transaction
ace_valid_coherent_valid_read_or_write_channel_overlap
function
function, defined in class svt_axi_system_monitor_def_cov_data_callback,  returns type void
ace_valid_coherent_valid_read_or_write_channel_overlap
function
function, defined in class svt_axi_system_monitor_def_cov_callback,  returns type void
ace_valid_ranges
constraint
defined in class svt_axi_transaction
ace_version
attribute
attribute type svt_axi_port_configuration :: ace_version_enum, defined in class svt_axi_port_configuration
ACE_VERSION_1_0
enum value
member of svt_axi_port_configuration :: ace_version_enum
ACE_VERSION_2_0
enum value
member of svt_axi_port_configuration :: ace_version_enum
ace_version_enum
enum typedef
defined in class svt_axi_port_configuration
ack_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
acprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
ACREADY
enum value
member of svt_axi_callback_data :: signal_enum
acready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acready_delay
attribute
attribute type rand int, defined in class svt_axi_snoop_transaction
acready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
ACREADYCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
acsnoop_reserved_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
action
function arg
arg type uvm_action, defined in function uvm_component :: set_report_severity_action_hier
action
function arg
arg type uvm_action, defined in function uvm_component :: set_report_id_action_hier
action
function arg
arg type uvm_action, defined in function uvm_component :: set_report_severity_id_action_hier
action
function arg
arg type uvm_action, defined in function uvm_report_object :: set_report_severity_action
action
function arg
arg type uvm_action, defined in function uvm_report_object :: set_report_id_action
action
function arg
arg type uvm_action, defined in function uvm_report_object :: set_report_severity_id_action
action
function arg
arg type string, defined in function uvm_objection :: m_report
action
function arg
arg type input string, defined in function uvm_resource_db :: m_show_msg
action
function arg
arg type uvm_action, defined in function uvm_report_handler :: format_action
action
function arg
arg type input uvm_action, defined in function uvm_report_handler :: set_severity_action
action
function arg
arg type input uvm_action, defined in function uvm_report_handler :: set_id_action
action
function arg
arg type uvm_action, defined in function uvm_report_handler :: set_severity_id_action
action
function arg
arg type uvm_action, defined in function uvm_report_server :: process_report
action
function arg
arg type uvm_action, defined in function uvm_report_catcher :: set_action
action
function arg
arg type ref uvm_action, defined in function uvm_report_catcher :: process_all_report_catchers
action
function arg
arg type output uvm_action, defined in function glboal :: uvm_string_to_action
action_e
enum typedef
defined in class uvm_report_catcher
action_enum
enum typedef
defined in class svt_chi_system_transaction
action_str
function arg
arg type string, defined in function glboal :: uvm_string_to_action
ACTIVATE
enum value
member of svt_chi_link_service :: service_type_enum
ACTIVE
enum value
member of svt_sequence_item :: status_enum
active_duration
attribute
attribute type real, defined in class svt_amba_perf_calc_base
active_dvm_q
attribute
attribute type protected svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_masters
function arg
arg type ref int, defined in function svt_axi_system_base_sequence :: get_active_masters
active_participating_masters
attribute
attribute type int, defined in class svt_axi_system_base_sequence
active_participating_masters
attribute
attribute type int, defined in class svt_ahb_system_base_sequence
active_participating_node_indices
function arg
arg type output int, defined in function svt_chi_system_configuration :: get_active_participating_node_indices
active_participating_q
attribute
attribute type int, defined in class svt_chi_system_barrier_sequence
active_participating_slave_index
attribute
attribute type rand int unsigned, defined in class svt_axi_system_base_sequence
active_participating_slave_index
attribute
attribute type rand int unsigned, defined in class svt_apb_system_base_sequence
active_participating_slave_index_0
attribute
attribute type rand int unsigned, defined in class svt_ahb_system_base_sequence
active_participating_slave_index_0_c
constraint
defined in class svt_ahb_system_base_sequence
active_participating_slave_index_2
attribute
attribute type rand int unsigned, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_slave_response_ictest_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_axi_system_base_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_ahb_system_base_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_apb_system_base_sequence
active_participating_slaves_c
constraint
defined in class svt_axi_system_base_sequence
active_participating_slaves_c
constraint
defined in class svt_apb_system_base_sequence
active_read_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_rn_xacts
attribute
attribute type svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
active_seq_queue
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_coherent_virtual_sequence
active_slaves
function arg
arg type ref int, defined in function svt_axi_system_base_sequence :: get_active_slaves
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_same_slave_response_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_write_overlap_addr_same_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_same_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_diff_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_diff_id_device_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_same_id_device_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_read_without_wait_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_read_write_same_id_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_read_same_id_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_read_same_id_from_diff_masters_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_from_diff_masters_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_masters_response_ictest_sequence
active_write_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_xacts
attribute
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
active_xacts
attribute
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_system_coherent_virtual_sequence
active_xml_start_time
attribute
attribute type realtime, defined in class svt_sequence_item
actrace_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
ACTRACECHK_EN
enum value
member of svt_axi_snoop_transaction :: user_inject_parity_signal_enum
actual
function arg
arg type input uvm_reg_data_t, defined in function uvm_reg :: do_check
actv_txn_q
attribute
attribute type svt_axi_transaction, defined in class svt_axi_master_base_sequence
actvQ
function arg
arg type output svt_axi_master_transaction, defined in function svt_axi_master :: get_outstanding_master_transactions
actvQ
function arg
arg type output svt_axi_slave_transaction, defined in function svt_axi_slave :: get_number_of_outstanding_slave_transactions
ACVALID
enum value
member of svt_axi_snoop_transaction :: reference_event_for_acready_delay_enum
acvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
ACVALID
enum value
member of svt_axi_callback_data :: signal_enum
acvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
ACVALIDCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
acvmid
attribute
attribute type rand bit [SVT_AXI_MAX_VMIDEXT_WIDTH-1:0], defined in class svt_axi_snoop_transaction
acvmidext_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
ACVMIDEXTCHK_EN
enum value
member of svt_axi_snoop_transaction :: user_inject_parity_signal_enum
acwakeup_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
acwakeup_toggle_max_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
acwakeup_toggle_min_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
acwakeup_valid_with_acvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
adapter
function arg
arg type uvm_reg_adapter, defined in function uvm_reg_map :: set_sequencer
adapter
task arg
arg type uvm_reg_adapter, defined in task uvm_reg_map :: do_bus_write
adapter
task arg
arg type uvm_reg_adapter, defined in task uvm_reg_map :: do_bus_read
adapter
attribute
attribute type uvm_reg_adapter, defined in class uvm_reg_sequence
adapter
attribute
attribute type uvm_reg_adapter, defined in class uvm_reg_predictor
add
function
function, defined in class uvm_pool,  returns type void
add
function
function, defined in class uvm_phase,  returns type void
add
function
function, defined in class uvm_callbacks,  returns type void
add
function
function, defined in class uvm_heartbeat,  returns type void
add
function
function, defined in class uvm_reg_read_only_cbs,  returns type void
add
function
function, defined in class uvm_reg_write_only_cbs,  returns type void
add
function
function, defined in class svt_event_pool,  returns type void
add_block
function
function, defined in class uvm_reg_block,  returns type void
add_by_name
function
function, defined in class uvm_callbacks,  returns type void
add_callback
function
function, defined in class uvm_event,  returns type void
add_compound_pattern_prop
function
function, defined in class svt_sequence_item_base,  returns type void
add_cov
function
function, defined in class svt_err_check_stats,  returns type void
add_coverage
function
function, defined in class uvm_reg,  returns type void
add_coverage
function
function, defined in class uvm_reg_block,  returns type void
add_coverage
function
function, defined in class uvm_mem,  returns type void
add_ev
function
function, defined in class svt_event_pool,  returns type void
add_exception
function
function, defined in class svt_exception_list,  returns type void
add_exceptions
function
function, defined in class svt_exception_list,  returns type void
add_field
function
function, defined in class uvm_reg,  returns type void
add_field
function
function, defined in class uvm_vreg,  returns type void
add_field
function
function, defined in class uvm_reg_indirect_data,  returns type void
add_force_cb_save_to_fsdb_type
function
function, defined in class svt_debug_opts,  returns type void
add_hdl_path
function
function, defined in class uvm_reg,  returns type void
add_hdl_path
function
function, defined in class uvm_reg_file,  returns type void
add_hdl_path
function
function, defined in class uvm_reg_block,  returns type void
add_hdl_path
function
function, defined in class uvm_mem,  returns type void
add_hdl_path_slice
function
function, defined in class uvm_reg,  returns type void
add_hdl_path_slice
function
function, defined in class uvm_mem,  returns type void
add_if_paths
function
function, defined in class svt_vip_writer,  returns type void
add_map
function
function, defined in class uvm_reg,  returns type void
add_map
function
function, defined in class uvm_reg_block,  returns type void
add_map
function
function, defined in class uvm_mem,  returns type void
add_map
function
function, defined in class uvm_reg_indirect_data,  returns type void
add_mem
function
function, defined in class uvm_reg_block,  returns type void
add_mem
function
function, defined in class uvm_reg_map,  returns type void
add_message_id_to_demote
function
function, defined in class svt_err_catcher,  returns type void
add_message_text_to_demote
function
function, defined in class svt_err_catcher,  returns type void
add_object_child
function
function, defined in class svt_vip_writer,  returns type bit
add_object_children
function
function, defined in class svt_vip_writer,  returns type bit
add_object_successor
function
function, defined in class svt_vip_writer,  returns type bit
add_object_successors
function
function, defined in class svt_vip_writer,  returns type bit
add_object_tag
function
function, defined in class svt_vip_writer,  returns type bit
add_pa_reference
function
function, defined in class svt_vip_writer,  returns type bit
add_parent_map
function
function, defined in class uvm_reg_map,  returns type void
add_path
function
function, defined in class uvm_hdl_path_concat,  returns type void
add_pattern_prop
function
function, defined in class svt_sequence_item_base,  returns type void
add_reg
function
function, defined in class uvm_reg_block,  returns type void
add_reg
function
function, defined in class uvm_reg_map,  returns type void
add_scope_attribute
function
function, defined in class svt_vip_writer,  returns type bit
add_sequence
function
function, defined in class uvm_sequencer_base,  returns type void
add_sequence
function
function, defined in class uvm_sequence_library,  returns type void
add_sequences
function
function, defined in class uvm_sequence_library,  returns type void
add_simple
function arg
arg type bit, defined in function uvm_sequencer_base :: m_add_builtin_seqs
add_slice
function
function, defined in class uvm_hdl_path_concat,  returns type void
add_stream_attribute
function
function, defined in class svt_vip_writer,  returns type bit
add_submap
function
function, defined in class uvm_reg_map,  returns type void
add_to_active_queue
function
function, defined in class svt_axi_system_monitor_def_cov_data_callback,  returns type void
add_to_start_addr
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_coherent_virtual_sequence
add_type
function arg
arg type string, defined in function svt_debug_opts :: add_force_cb_save_to_fsdb_type
add_typewide_sequence
function
function, defined in class uvm_sequence_library,  returns type void
add_typewide_sequence
function
function, defined in class svt_axi_slave_transaction_sequence_library,  returns type void
add_typewide_sequences
function
function, defined in class uvm_sequence_library,  returns type void
add_typewide_sequences
function
function, defined in class svt_axi_slave_transaction_sequence_library,  returns type void
add_uvm_phases
function
function, defined in class uvm_domain,  returns type void
add_vreg
function
function, defined in class uvm_reg_block,  returns type void
ADDED_TO_QUEUE
enum value
member of svt_axi_snoop_transaction :: suspended_status_enum
addr
function arg
arg type bit [63:0] , defined in function uvm_tlm_generic_payload :: set_address
addr
function arg
arg type ref uvm_reg_addr_t, defined in function uvm_reg :: get_addresses
addr
function arg
arg type uvm_reg_addr_t, defined in function uvm_reg_block :: XsampleX
addr
function arg
arg type ref uvm_reg_addr_t, defined in function uvm_mem :: get_addresses
addr
function arg
arg type uvm_reg_addr_t, defined in function uvm_mem :: XsampleX
addr
function arg
arg type ref uvm_reg_addr_t, defined in function uvm_reg_map :: get_physical_addresses
addr
attribute
attribute type uvm_reg_addr_t, defined in class uvm_reg_map_info
addr
attribute
attribute type bit, defined in class uvm_predict_s
addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem_word :: new
addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: read
addr
function arg
arg type bit [(SVT_MEM_MAX_ADDR_WIDTH-1):0], defined in function svt_mem :: write
addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: is_in_bounds
addr
function arg
arg type bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: is_locked
addr
function arg
arg type ref bit [SVT_MEM_MAX_ADDR_WIDTH-1:0], defined in function svt_mem :: get_aligned_addr
addr
function arg
arg type longint unsigned, defined in function svt_amba_pv_extension :: set_dvm_additional_address
addr
function arg
arg type bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in function svt_apb_system_configuration :: get_slave_id
addr
function arg
arg type bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in function svt_apb_transaction :: get_aligned_addr
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_transaction
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_read_xact_sequence
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_system_configuration :: translate_address
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_port_configuration :: get_shareablity_domain_of_addr
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_port_configuration :: is_slave_addr_in_range
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_transaction
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_cache_line :: new
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_cache_line :: write
addr
function arg
arg type output addr_t, defined in function svt_axi_cache :: read_by_index
addr
function arg
arg type output addr_t, defined in function svt_axi_cache :: read_line_by_index
addr
function arg
arg type input addr_t, defined in function svt_axi_cache :: read_by_addr
addr
function arg
arg type input addr_t, defined in function svt_axi_cache :: read_line_by_addr
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: write
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: backdoor_write
addr
function arg
arg type input addr_t, defined in function svt_axi_cache :: get_index_for_addr
addr
function arg
arg type output addr_t, defined in function svt_axi_cache :: get_addr_at_index
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: invalidate_addr
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: reserve_index
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_reserved_index
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: delete_reservation_for_addr
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: update_status
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: update_tag_status
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_status
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: update_age
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_age
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_prot_type
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_prot_type
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_cache_type
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_cache_type
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_set_index
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_set_index
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_way_number
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_allocated_associative_cache_way_number
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_way_number
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_associative_cache_tag
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_associative_cache_tag
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_tag
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_tag
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_poison
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_poison
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_pbha
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_pbha
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_cah
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_cah
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_mecid
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_mecid
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_data_check
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: set_data_check_passed
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_data_check
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_data_check_passed
addr
function arg
arg type addr_t, defined in function svt_axi_cache :: get_cache_line
addr
function arg
arg type input addr_t, defined in function svt_axi_cache :: is_partial_dirty_line
addr
function arg
arg type input addr_t, defined in function svt_axi_cache :: is_line_empty
addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_fifo_mem
addr
function arg
arg type int, defined in function svt_axi_fifo_mem :: new
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_system_transaction :: has_multiple_correlated_xacts
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_snoop_filter_enable
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_snoop_filter_based_snooping_enable
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_l3_cache_enabled
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_invisible_cache_mode_enabled
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_hn_idx
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_hn_node_id_for_addr
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_extern_chip_ra_id_for_addr
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_extern_chip_ra_idx
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_sn_node_id
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_slave_node_id_for_addr
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: translate_address
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: is_mapped_to_mn_addr_ranges
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_system_configuration :: get_slave_route_port
addr
function arg
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_system_configuration :: get_three_sn_f_striping_based_sn_f_idx
addr
function arg
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_system_configuration :: get_six_sn_f_striping_based_sn_f_idx
addr
attribute
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_common_transaction
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_extern_chip_ra_idx
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_hn_idx
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_hn_node_id_for_addr
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_sn_node_id
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_address_configuration :: get_mn_addr_range_index
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: set_initial_cacheline_state
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: is_initial_cacheline_in_valid_state
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_transaction :: is_initial_cacheline_in_invalid_state
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_rn_coherent_transaction_base_virtual_sequence :: get_cache_status
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_makeunique_xact
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_cleanunqiue_xact
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeclean_xact
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_writeback_xact
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_readnotshareddirty_xact
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: invalidate_all_cachelines
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_initialization_virtual_sequence :: send_invalidate_xact
addr
attribute
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class chi_rn_directed_noncoherent_xact_sequence
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_evict
addr
task arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in task svt_chi_system_cacheline_invalidation_virtual_sequence :: initiate_basic_writeback
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_base_virtual_sequence :: update_rn_cache_status
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_base_virtual_sequence :: get_rn_cache_status
addr
function arg
arg type bit [SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1:0], defined in function svt_chi_system_protocol_flow_ctrl_xact_hazard_virtual_sequence :: get_cache_status
addr
function arg
arg type bit [SVT_AMBA_MAX_ADDR_WIDTH-1:0], defined in function svt_amba_system_configuration :: translate_address
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_amba_system_configuration :: get_chi_slave_route_port
addr
function arg
arg type input addr_t, defined in function svt_axi_passive_cache :: read_data
addr
function arg
arg type input addr_t, defined in function svt_axi_passive_cache :: read
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: write
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: allocate_cacheline
addr
function arg
arg type input addr_t, defined in function svt_axi_passive_cache :: get_index_for_addr
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: invalidate_addr
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: update_status
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: get_status
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: update_age
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: get_age
addr
function arg
arg type addr_t, defined in function svt_axi_passive_cache :: get_cache_line
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_exclusive_monitor :: set_pending_successful_exclusive_store_ack
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_exclusive_monitor :: get_pending_successful_exclusive_store_ack
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_master_read_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_read_xact_sequence
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_slave_agent :: write_byte
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_slave_agent :: write_num_byte
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_slave_agent :: read_byte
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_slave_agent :: read_num_byte
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_ic_slave_agent :: get_fifo_index
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_ic_slave_agent :: write_byte
addr
task arg
arg type input bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_ic_slave_agent :: read_byte
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_ace_master_base_sequence :: initialize_cache_via_backdoor
addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_ace_master_base_virtual_sequence :: get_cache_status
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: send_makeunique_xact
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: send_writeclean_on_rand_cachelines
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: send_writeclean_xact
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: send_readshared_xact
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: invalidate_rand_cachelines
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_initialization :: send_invalidate_xact
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_invalidation :: initiate_basic_evict
addr
task arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in task svt_axi_cacheline_invalidation :: initiate_basic_writeback
addr
function arg
arg type bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in function svt_chi_interconnect_env :: write_to_icn_mem
addr
function arg
arg type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in function svt_chi_interconnect_env :: read_from_icn_mem
addr
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_master_read_xact_sequence
addr
function arg
arg type bit [SVT_AMBA_MAX_ADDR_WIDTH-1:0], defined in function svt_amba_system_monitor :: get_amba_slave_route_port
addr_aligned_unaligned16_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned16_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_aligned_unaligned32_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned32_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_aligned_unaligned64_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned64_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_attrib1
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_port_configuration :: is_same_addr_attribute
addr_attrib2
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_port_configuration :: is_same_addr_attribute
addr_attribute
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_system_configuration :: set_addr_range
addr_attribute
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_slave_addr_range :: set_region_range
addr_attribute
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_port_configuration :: set_addr_range
addr_attribute
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_port_configuration :: is_slave_addr_in_range
addr_boundary_check_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
addr_collection_q
function arg
arg type ref svt_axi_master_transaction, defined in function svt_axi_master_base_sequence :: get_nonoverlap_addr
addr_collection_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_write_same_slave_sequence
addr_collection_q
function arg
arg type ref svt_axi_transaction, defined in function svt_axi_system_base_sequence :: get_nonoverlap_addr
addr_gen_type
attribute
attribute type rand svt_traffic_profile_transaction :: addr_val_type_enum, defined in class svt_traffic_profile_transaction
ADDR_HANDSHAKE
enum value
member of svt_axi_transaction :: reference_event_for_bvalid_delay_enum
addr_idle_value
attribute
attribute type svt_ahb_configuration :: idle_val_enum, defined in class svt_ahb_configuration
addr_index_m0
attribute
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence
addr_index_m1
attribute
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence
addr_int
attribute
attribute type int, defined in class svt_axi4_lite_master_random_sequence
addr_last
attribute
attribute type protected bit [1023:0] , defined in class svt_ahb_master_monitor_def_cov_data_callback
addr_last
attribute
attribute type protected bit [1023:0] , defined in class svt_ahb_slave_monitor_def_cov_data_callback
addr_mode
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_rn_coherent_transaction_base_sequence
addr_mode
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
addr_mode
attribute
attribute type rand svt_chi_system_coherent_virtual_sequence :: addr_mode_type, defined in class svt_chi_system_coherent_virtual_sequence
addr_mode
attribute
attribute type svt_axi_ace_master_base_sequence :: addr_mode_enum, defined in class svt_axi_ace_master_base_sequence
addr_mode_enum
enum typedef
defined in class svt_axi_ace_master_base_sequence
addr_mode_select
attribute
attribute type bit, defined in class svt_axi_ace_master_single_port_base_virtual_sequence
addr_mode_select
attribute
attribute type int, defined in class svt_axi_ace_master_snoop_during_memory_update_sequence
addr_mode_status
attribute
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
addr_mode_status
attribute
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence
addr_offset_coverpoint
attribute
attribute type protected reg [6:0] , defined in class svt_axi_port_monitor_def_cov_data_callback
addr_overlap_slave_xacts_started_after_curr_xact_queue
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
addr_phase_active_write_xacts
attribute
attribute type protected svt_axi_transaction, defined in class svt_axi_system_monitor_def_cov_data_callback
addr_phase_xact_num
interface attribute
defined in interface svt_ahb_master_if,
addr_phase_xact_num
interface attribute
defined in interface svt_ahb_slave_if,
addr_ranges
function arg
arg type ref svt_chi_hn_addr_range, defined in function svt_chi_address_configuration :: set_addr_range
addr_ranges
function arg
arg type ref svt_chi_hn_addr_range, defined in function svt_chi_address_configuration :: set_addr_range_for_extern_chip_ra
addr_ready_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_ready_assertion_time
attribute
attribute type realtime, defined in class svt_axi_transaction
addr_ready_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
addr_reg
function arg
arg type uvm_reg, defined in function uvm_reg_indirect_ftdr_seq :: new
addr_region
attribute
attribute type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in class svt_mem
addr_region
function arg
arg type int, defined in function svt_mem :: new
addr_region
function arg
arg type int, defined in function svt_chi_memory :: new
addr_region
function arg
arg type int, defined in function svt_apb_memory :: new
addr_skip
function arg
arg type output int, defined in function uvm_reg_map :: Xget_bus_infoX
addr_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
addr_tag
function arg
arg type bit [SVT_AXI_ADDR_TAG_ATTRIBUTES_WIDTH-1:0], defined in function svt_axi_port_configuration :: get_tagged_addr
addr_translated_from_pcie
attribute
attribute type rand bit, defined in class svt_axi_transaction
addr_translation_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
addr_twodim_stride
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
addr_twodim_yrange
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
addr_user
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_USER_WIDTH-1:0], defined in class svt_axi_transaction
addr_user_width
attribute
attribute type rand int, defined in class svt_axi_port_configuration
addr_val_type_enum
enum typedef
defined in class svt_traffic_profile_transaction
ADDR_VALID
enum value
member of svt_axi_transaction :: reference_event_for_addr_ready_delay_enum
addr_valid_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_valid_assertion_time
attribute
attribute type realtime, defined in class svt_axi_transaction
addr_valid_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
addr_wakeup_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_wakeup_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
addr_width
attribute
attribute type rand int, defined in class svt_ahb_configuration
addr_width
attribute
attribute type rand int, defined in class svt_axi_port_configuration
addr_width
attribute
attribute type rand int, defined in class svt_chi_node_configuration
addr_wrt_wrap_boundary
function arg
arg type output svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum, defined in function svt_ahb_transaction :: check_addr_location_wrt_wrap_boundary
addr_wrt_wrap_boundary
function arg
arg type output svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum, defined in function svt_ahb_transaction :: rebuild_transaction
addr_wrt_wrap_boundary
function arg
arg type output svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum, defined in function svt_ahb_master_transaction :: rebuild_transaction
addr_xrange
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
ADDRESS
enum value
member of uvm_mem :: init_e
ADDRESS
enum value
member of svt_mem :: meminit_enum
address
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_transaction
address
attribute
attribute type rand address, defined in class svt_axi_write_same_slave_sequence
address
enum typedef
defined in class svt_axi_write_same_slave_sequence
address
attribute
attribute type rand address, defined in class svt_axi_read_same_slave_sequence
address
enum typedef
defined in class svt_axi_read_same_slave_sequence
ADDRESS_AFTER_WRAP_BOUNDARY
enum value
member of svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum
address_alignment
constraint
defined in class svt_apb_master_write_xact_sequence
address_alignment
constraint
defined in class svt_apb_master_read_xact_sequence
address_based_flush_policy
attribute
attribute type svt_chi_hn_status :: address_based_flush_policy_enum, defined in class svt_chi_hn_status
address_based_flush_policy_enum
enum typedef
defined in class svt_chi_hn_status
address_based_flushing_started
attribute
attribute type bit, defined in class svt_chi_hn_status
ADDRESS_BEFORE_WRAP_BOUNDARY
enum value
member of svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum
address_not_aligned_when_unaligned_address_support_not_enabled
attribute
attribute type svt_err_check_stats, defined in class svt_apb_checker
ADDRESS_ON_WRAP_BOUNDARY
enum value
member of svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum
ADDRESS_STATUS_INITIAL
enum value
member of svt_ahb_transaction :: beat_addr_wrt_wrap_boundary_enum
address_to_suspend
attribute
attribute type longint, defined in class svt_axi_slave_suspend_read_response_on_address_sequence
address_to_suspend
attribute
attribute type longint, defined in class svt_axi_slave_suspend_write_response_on_address_sequence
addressable_space_per_sn_f
function arg
arg type output int, defined in function svt_chi_system_configuration :: get_three_sn_f_striping_addressable_space
addressable_space_per_sn_f
function arg
arg type output int, defined in function svt_chi_system_configuration :: get_six_sn_f_striping_addressable_space
addressable_space_units
function arg
arg type output string, defined in function svt_chi_system_configuration :: get_three_sn_f_striping_addressable_space
addressable_space_units
function arg
arg type output string, defined in function svt_chi_system_configuration :: get_six_sn_f_striping_addressable_space
addressing_mode_enum
enum typedef
defined in class svt_chi_rn_coherent_transaction_base_sequence
addrspace
function arg
arg type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in function svt_mem_word :: new
adjust_name
function
function, defined in class uvm_printer,  returns type string
adjust_xact_reference
function
function, defined in class svt_chi_common_transaction_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_base_transaction_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_snoop_transaction_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_transaction_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_flit_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_rn_transaction_exception_list,  returns type void
adjust_xact_reference
function
function, defined in class svt_chi_sn_transaction_exception_list,  returns type void
advance_clock
task
defined in class svt_axi_master_agent
advanced_byte_enable_coverage_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
advanced_transaction_coverage_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
advertised_curr_l_credit_high_range_start_value
attribute
attribute type rand int, defined in class svt_chi_node_configuration
advertised_curr_l_credit_medium_range_start_value
attribute
attribute type rand int, defined in class svt_chi_node_configuration
after_export
attribute
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator
after_export
attribute
attribute type uvm_analysis_export, defined in class uvm_algorithmic_comparator
after_phase
function arg
arg type uvm_phase, defined in function uvm_phase :: add
age
function arg
arg type longint, defined in function svt_axi_cache_line :: set_age
age
function arg
arg type output longint, defined in function svt_axi_cache :: read_by_index
age
function arg
arg type output longint, defined in function svt_axi_cache :: read_line_by_index
age
function arg
arg type output longint, defined in function svt_axi_cache :: read_by_addr
age
function arg
arg type output longint, defined in function svt_axi_cache :: read_line_by_addr
age
function arg
arg type longint, defined in function svt_axi_cache :: write
age
function arg
arg type longint, defined in function svt_axi_cache :: backdoor_write
age
function arg
arg type longint, defined in function svt_axi_cache :: update_age
age
function arg
arg type output longint, defined in function svt_axi_cache :: get_age
age
function arg
arg type output longint, defined in function svt_axi_passive_cache :: read_data
age
function arg
arg type output longint, defined in function svt_axi_passive_cache :: read
age
function arg
arg type longint, defined in function svt_axi_passive_cache :: write
age
function arg
arg type longint, defined in function svt_axi_passive_cache :: allocate_cacheline
age
function arg
arg type longint unsigned, defined in function svt_axi_passive_cache :: update_age
age
function arg
arg type output longint unsigned, defined in function svt_axi_passive_cache :: get_age
age
attribute
attribute type longint, defined in class svt_axi_ace_master_snoop_response_sequence
agent
attribute
attribute type svt_axi_slave_agent, defined in class svt_axi_slave_tlm_response_sequence
agent
attribute
attribute type svt_ahb_slave_agent, defined in class svt_ahb_slave_tlm_response_sequence
agent
attribute
attribute type svt_apb_slave_agent, defined in class svt_apb_slave_tlm_response_sequence
AHB
enum value
member of svt_ahb_bus_configuration :: ahb_interface_type_enum
ahb3
attribute
attribute type bit, defined in class svt_ahb_system_configuration
AHB3_LITE
enum value
member of svt_ahb_bus_configuration :: ahb_interface_type_enum
ahb5
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb_address_phase_extended
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_bus_if
function arg
arg type AHB_IF, defined in function svt_ahb_bus_configuration :: set_bus_if
ahb_downstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
ahb_external_port_cfg
attribute
attribute type svt_ahb_master_configuration, defined in class svt_ahb_master_agent
ahb_external_port_cfg
attribute
attribute type svt_ahb_slave_configuration, defined in class svt_ahb_slave_agent
ahb_external_port_id
attribute
attribute type int, defined in class svt_ahb_master_agent
ahb_external_port_id
attribute
attribute type int, defined in class svt_ahb_slave_agent
ahb_if
function arg
arg type AHB_IF, defined in function svt_ahb_system_configuration :: new
ahb_if
function arg
arg type AHB_IF, defined in function svt_ahb_system_configuration :: set_if
AHB_IF
interface typedef
defined in class svt_amba_system_env
AHB_INTERFACE
enum value
member of svt_amba_system_configuration :: amba_interface_type_enum
ahb_interface_type
attribute
attribute type rand svt_ahb_bus_configuration :: ahb_interface_type_enum, defined in class svt_ahb_bus_configuration
ahb_interface_type_enum
enum typedef
defined in class svt_ahb_bus_configuration
ahb_lite
attribute
attribute type bit, defined in class svt_ahb_system_configuration
AHB_LITE
enum value
member of svt_ahb_bus_configuration :: ahb_interface_type_enum
ahb_lite_multilayer
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb_lite_retry_response
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_lite_split_response
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
AHB_MASTER_IF
interface typedef
defined in global
ahb_master_sequencer
function arg
arg type svt_ahb_master_transaction_sequencer, defined in function svt_ahb_master_transaction_sequencer_callback :: post_tlm_gp_to_ahb_mapping
ahb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback
ahb_monitor_mp
attribute
attribute type protected AHB_MASTER_IF_MP, defined in class svt_ahb_master_monitor_def_cov_data_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_state_cov_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks
ahb_monitor_mp
attribute
attribute type protected AHB_SLAVE_IF_MP, defined in class svt_ahb_slave_monitor_def_cov_data_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_state_cov_callback
AHB_PROTOCOL
enum value
member of svt_amba_system_monitor_transaction_xml_callback :: protocol_type_enum
ahb_reg_trans
attribute
attribute type svt_ahb_master_reg_transaction, defined in class svt_ahb_reg_adapter
ahb_regmodel
attribute
attribute type uvm_reg_block, defined in class svt_ahb_master_agent
AHB_SLAVE_IF
interface typedef
defined in global
ahb_slave_mem
attribute
attribute type svt_mem, defined in class svt_ahb_slave_agent
ahb_slave_memory_response_sequence
class typedef
defined in global
ahb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback
ahb_sys_cfg
attribute
attribute type rand svt_ahb_system_configuration, defined in class svt_amba_system_configuration
ahb_system
attribute
attribute type svt_ahb_system_env, defined in class svt_amba_system_env
ahb_system_sequencer
attribute
attribute type svt_ahb_system_sequencer, defined in class svt_amba_system_sequencer
ahb_transaction_random_write_or_read_sequence
class typedef
defined in global
ahb_upstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
ahb_valid_beat_address_check
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_xact
function arg
arg type svt_ahb_transaction, defined in function svt_amba_system_monitor_callback :: post_ahb_to_axi_xact_conversion
ahb_xact
function arg
arg type svt_ahb_transaction, defined in function svt_amba_system_monitor_transaction_xml_callback :: post_ahb_to_axi_xact_conversion
ahb_xacts
function arg
arg type ref svt_ahb_master_transaction, defined in function svt_ahb_master_transaction_sequencer_callback :: post_tlm_gp_to_ahb_mapping
align_addr_atomicity_size_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
aligned_addr
function arg
arg type bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in function svt_apb_transaction :: get_byteen_for_unaligned_addr
aligned_addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_snoop_response_sequence
aligned_addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_cacheline_invalidation
aligned_addr_to_cache_line_size
attribute
attribute type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in class svt_chi_system_transaction
all_beat_response
attribute
attribute type svt_ahb_transaction :: response_type_enum, defined in class svt_ahb_transaction
all_dropped
task
defined in class uvm_component
all_dropped
task
defined in class uvm_objection
all_dropped
task
defined in class uvm_test_done_objection
all_dropped
task
defined in class uvm_objection_callback
all_dropped
task
defined in class uvm_callbacks_objection
all_dropped
attribute
attribute type event, defined in class uvm_objection_events
ALL_DVM_MASTERS
enum value
member of svt_axi_ace_master_dvm_virtual_sequence :: multi_port_type_enum
ALL_INTERFACE_TYPES
enum value
member of svt_axi_port_configuration :: force_xact_to_cache_line_size_interface_type_enum
ALL_MISMATCH
macro
 
ALL_OS_TLB_INVALIDATE_ALL
enum value
member of svt_axi_ace_concurent_non_dvm_xacts_with_dvm_xacts_sequence :: tlb_operations_enum
all_responses_recieved_for_write_with_cmo_or_cmo_on_write_transaction_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
ALL_SYNC_NON_SYNC_WITH_SAME_LPID
enum value
member of svt_chi_rn_transaction_base_sequence :: dvm_lpid_pattern_enum
ALL_TXN_ID_IN_USE
enum value
member of svt_chi_snoop_transaction :: data_pull_value_override_reason_enum
all_types
function arg
arg type int, defined in function uvm_factory :: print
alloc
function arg
arg type uvm_mem_mam_policy, defined in function uvm_mem_mam :: request_region
alloc
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_read_allocate
alloc
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_write_allocate
alloc
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_read_other_allocate
alloc
function arg
arg type bit, defined in function svt_amba_pv_extension :: set_write_other_allocate
alloc_mode_e
enum typedef
defined in class uvm_mem_mam
allocate
function
function, defined in class uvm_vreg,  returns type uvm_mem_region
allocate
function
function, defined in class svt_sequence_item_base_iter,  returns type svt_sequence_item_base_iter
allocate
function
function, defined in class svt_sequence_item_base_queue_iter,  returns type svt_sequence_item_base_iter
allocate
function
function, defined in class svt_sequence_item_iter,  returns type svt_sequence_item_base_iter
ALLOCATE
enum value
member of svt_ahb_transaction :: prot5_ex_type_enum
allocate_auto_op_pattern
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
allocate_cacheline
function
function, defined in class svt_axi_passive_cache,  returns type bit
allocate_check_enable_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_cov_enable_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_data_converter
function
function, defined in class svt_sequence_item,  returns type svt_data_converter
allocate_debug_feature_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_debug_feature_pattern
function
function, defined in class svt_apb_configuration,  returns type svt_pattern
allocate_debug_feature_pattern
function
function, defined in class svt_axi_port_configuration,  returns type svt_pattern
allocate_dynamic_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_in_cache
attribute
attribute type rand bit, defined in class svt_axi_transaction
allocate_in_cache
attribute
attribute type rand bit, defined in class svt_chi_transaction
allocate_in_cache_data_for_tag_fetch_readunique
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_transaction
allocate_in_cache_tags_for_cleanunique_makereadunique
constraint
defined in class svt_chi_rn_transaction
allocate_loaded_exception
function
function, defined in class svt_axi_transaction_exception,  returns type svt_axi_transaction_exception
allocate_pa_feature_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_apb_slave_addr_range,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_ahb_slave_multi_hsel_addr_range,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_ahb_slave_addr_range,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_ahb_bus_status,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_axi_barrier_pair_transaction,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_axi_service,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_axi_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_axi_fifo_mem,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_system_domain_item,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_common_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_base_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_snoop_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_flit_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_rn_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_chi_sn_transaction_exception,  returns type svt_pattern
allocate_pattern
function
function, defined in class svt_axi_passive_cache,  returns type svt_pattern
allocate_pattern_filter
function arg
arg type int, defined in function svt_sequence_item_base :: allocate_auto_op_pattern
allocate_static_pattern
function
function, defined in class svt_configuration,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_sequence_item_base,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_traffic_profile_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_apb_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_apb_slave_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_ahb_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_ahb_master_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_ahb_slave_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_axi_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_axi_snoop_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_axi_master_transaction,  returns type svt_pattern
allocate_xml_pattern
function
function, defined in class svt_axi_slave_transaction,  returns type svt_pattern
allocation_rack_auto_generated_lru_xact_timing
attribute
attribute type svt_axi_port_configuration :: allocation_rack_auto_generated_lru_xact_timing_enum, defined in class svt_axi_port_configuration
allocation_rack_auto_generated_lru_xact_timing_enum
enum typedef
defined in class svt_axi_port_configuration
allow_act_in_tx_stop_rx_deact
attribute
attribute type rand bit, defined in class svt_chi_link_service
allow_both_continue_and_abort_on_error_resp_policy
attribute
attribute type bit, defined in class svt_ahb_master_configuration
allow_cache_update_on_coherent_error_response
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_cache_update_on_excl_access_with_okay_response
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_deact_in_tx_run_rx_act
attribute
attribute type rand bit, defined in class svt_chi_link_service
allow_dmt_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_dvm_sync_without_prior_non_sync
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_dwt_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_early_comp_for_nonsync_dvmop
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_early_dvm_response_to_master
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_exclusive_store_without_exclusive_load
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
allow_exclusive_store_without_exclusive_load
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_first_exclusive_store_to_succeed
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
allow_link_active_signal_banned_output_race_transitions
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_multiple_dvm_sync_oustanding_xacts
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_non_coherent_exclusive_transactions_with_mismatched_attr_targetted_to_the_same_cache_line
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_overlapped_read_and_write_channel_coherent_transactions
attribute
attribute type bit, defined in class svt_axi_port_configuration
allow_protocol_flits_transmission_in_tx_lasm_deactivate_state
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_readnosnpsep_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_restart
function arg
arg type bit, defined in function svt_timer :: start_timer
allow_restart
function arg
arg type bit, defined in function svt_triggered_timer :: start_timer
allow_rme
function arg
arg type bit, defined in function svt_axi_transaction :: is_rme
allow_rme
function arg
arg type bit, defined in function svt_axi_transaction :: is_realm
allow_same_node_id_within_port_interleaving_group
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_secure
function arg
arg type bit, defined in function svt_axi_transaction :: is_secure
allow_slaves_with_overlapping_addr
attribute
attribute type rand bit, defined in class svt_ahb_system_configuration
allow_slaves_with_overlapping_addr
attribute
attribute type rand bit, defined in class svt_axi_system_configuration
allow_was_unique_zero_in_unique_state
attribute
attribute type bit, defined in class svt_axi_port_configuration
allow_writedatacancel_from_rn_for_writenosnpfull_xact_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allowed_error_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
allowed_retry_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
allowed_split_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
ALWAYS_RESPOND_WITH_COMP
enum value
member of svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum
ALWAYS_RESPOND_WITH_COMPDBIDRESP
enum value
member of svt_chi_interconnect_configuration :: writes_with_optional_data_xact_response_type_enum
amba_ahb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback
amba_ahb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback
amba_apb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_master_monitor_system_checker_callback
amba_apb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_slave_monitor_system_checker_callback
amba_axi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
amba_chi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_system_checker_callback
amba_fifo_cfg
task arg
arg type svt_amba_fifo_rate_control_configuration, defined in task svt_amba_fifo_rate_control :: start_fifo_update_every_clock
amba_fifo_curr_fill_level
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
amba_fifo_rate_control_valid_ranges
constraint
defined in class svt_amba_fifo_rate_control_configuration
AMBA_FLEX_AGENT_AND_COMBINED_ACTIVITY_TRACE_FILES
enum value
member of svt_amba_system_configuration :: amba_trace_file_gen_policy_enum
AMBA_FLEX_COMBINED_ACTIVITY_TRACE_FILE
enum value
member of svt_amba_system_configuration :: amba_trace_file_gen_policy_enum
amba_interface_type
function arg
arg type output svt_amba_system_configuration :: amba_interface_type_enum, defined in function svt_amba_system_monitor :: get_amba_slave_route_port
amba_interface_type_enum
enum typedef
defined in class svt_amba_system_configuration
AMBA_PV_NON_SECURE_ONLY
enum value
member of svt_amba_pv :: dvm_security_t
AMBA_PV_NON_SECURE_ONLY
enum value
member of svt_axi_transaction :: dvm_security_enum
AMBA_PV_SECURE_AND_NON_SECURE
enum value
member of svt_amba_pv :: dvm_security_t
AMBA_PV_SECURE_AND_NON_SECURE
enum value
member of svt_axi_transaction :: dvm_security_enum
AMBA_PV_SECURE_ONLY
enum value
member of svt_amba_pv :: dvm_security_t
AMBA_PV_SECURE_ONLY
enum value
member of svt_axi_transaction :: dvm_security_enum
amba_shared_status
attribute
attribute type svt_amba_status, defined in class svt_amba_system_env
AMBA_STD_AGENT_TRACE_FILES
enum value
member of svt_amba_system_configuration :: amba_trace_file_gen_policy_enum
amba_sys_cfg
attribute
attribute type svt_amba_system_configuration, defined in class svt_amba_system_monitor_configuration
amba_sys_cfg
attribute
attribute type svt_amba_system_configuration, defined in class svt_chi_system_monitor_common_utils
amba_sys_mon_cfg
attribute
attribute type rand svt_amba_system_monitor_configuration, defined in class svt_amba_system_configuration
amba_sys_mon_xml_gen_cb
attribute
attribute type protected svt_amba_system_monitor_transaction_xml_callback, defined in class svt_amba_system_monitor
amba_system_coverage_enable
attribute
attribute type bit, defined in class svt_amba_system_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_apb_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_ahb_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_axi_port_configuration
amba_system_port_id
function arg
arg type int, defined in function svt_amba_system_configuration :: get_port_cfg_of_amba_system_port_id
amba_total_expected_fill_level
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
amba_trace_file_gen_policy
attribute
attribute type svt_amba_system_configuration :: amba_trace_file_gen_policy_enum, defined in class svt_amba_system_configuration
amba_trace_file_gen_policy_enum
enum typedef
defined in class svt_amba_system_configuration
AMBA_TRACE_GEN_POLICY_HANDLED_BY_SUB_SYSTEMS
enum value
member of svt_amba_system_configuration :: amba_trace_file_gen_policy_enum
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_tlm_analysis_fifo
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_subscriber
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_sequencer_analysis_fifo
analysis_port
attribute
attribute type uvm_analysis_port, defined in class svt_dispatch
analysis_write
function
function, defined in class uvm_sequencer_base,  returns type void
analysis_write
function
function, defined in class uvm_sequencer_param_base,  returns type void
annotate_apb_transaction_responses_to_tlm_gp
function
function, defined in class svt_apb_tlm_gp_to_apb_sequence,  returns type void
ap
attribute
attribute type uvm_analysis_imp, defined in class svt_chi_sn_transaction_sequencer
apb3_enable
attribute
attribute type rand bit, defined in class svt_apb_system_configuration
apb4_enable
attribute
attribute type rand bit, defined in class svt_apb_system_configuration
apb_downstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
apb_external_port_cfg
attribute
attribute type svt_apb_slave_configuration, defined in class svt_apb_slave_agent
apb_external_port_id
attribute
attribute type int, defined in class svt_apb_master_agent
apb_external_port_id
attribute
attribute type int, defined in class svt_apb_slave_agent
apb_external_sys_cfg
attribute
attribute type svt_apb_system_configuration, defined in class svt_apb_master_agent
apb_if
function arg
arg type APB_IF, defined in function svt_apb_system_configuration :: new
apb_if
function arg
arg type APB_IF, defined in function svt_apb_system_configuration :: set_if
APB_IF
interface typedef
defined in class svt_amba_system_env
APB_INTERFACE
enum value
member of svt_amba_system_configuration :: amba_interface_type_enum
apb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_master_monitor_system_checker_callback
apb_master_unalinged_write_read_data_compare_sequence
class
 
apb_master_write_read_data_compare_sequence
class
 
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_master_monitor_def_toggle_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_master_monitor_def_state_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_slave_monitor_def_toggle_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_slave_monitor_def_state_cov_data_callback
APB_NON_SECURE
enum value
member of svt_apb_transaction :: physical_mem_region_enum
APB_PROTOCOL
enum value
member of svt_amba_system_monitor_transaction_xml_callback :: protocol_type_enum
apb_reg_trans
attribute
attribute type svt_apb_master_reg_transaction, defined in class svt_apb_reg_adapter
APB_SECURE
enum value
member of svt_apb_transaction :: physical_mem_region_enum
APB_SLAVE_IF
interface typedef
defined in global
apb_slave_mem
attribute
attribute type svt_apb_memory, defined in class svt_apb_slave_agent
apb_slave_mem
attribute
attribute type svt_apb_memory, defined in class svt_apb_slave_memory_sequence
apb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_slave_monitor_system_checker_callback
apb_sys_cfg
attribute
attribute type rand svt_apb_system_configuration, defined in class svt_amba_system_configuration
apb_system
attribute
attribute type svt_apb_system_env, defined in class svt_amba_system_env
apb_system_sequencer
attribute
attribute type svt_apb_system_sequencer, defined in class svt_amba_system_sequencer
apb_tr
function arg
arg type ref svt_apb_master_transaction, defined in function svt_apb_tlm_gp_to_apb_sequence :: map_tlm_gp_to_apb_transactions
apb_tr
function arg
arg type ref svt_apb_master_transaction, defined in function svt_apb_tlm_gp_to_apb_sequence :: annotate_apb_transaction_responses_to_tlm_gp
apb_upstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
apb_xact
attribute
attribute type svt_apb_transaction, defined in class svt_apb_master_monitor_pa_writer_callback
apb_xact
function arg
arg type svt_apb_transaction, defined in function svt_amba_system_monitor_callback :: post_apb_to_axi_xact_conversion
apb_xact
function arg
arg type svt_apb_transaction, defined in function svt_amba_system_monitor_transaction_xml_callback :: post_apb_to_axi_xact_conversion
append
function arg
arg type bit, defined in function uvm_event :: add_callback
apply_byte_to_crc
function
function, defined in class svt_data_converter,  returns type void
apply_byte_to_crc
function
function, defined in class svt_sequence_item,  returns type void
apply_config_settings
function
function, defined in class uvm_component,  returns type void
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_ahb_master_transaction_sequencer
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_axi_master_sequencer
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_axi_slave_sequencer
apply_filter
function
function, defined in class svt_uvm_cmd_assistant_report_catcher,  returns type bit
ar_aw_stalled_for_ac_channel
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
AR_chan_sample_event
attribute
attribute type event, defined in class svt_axi_port_monitor_def_state_cov_data_callback
araddr_4k_boundary_cross_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
araddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_transaction
araddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
araddr_wrap_aligned_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
ARADDRCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arb_completed
attribute
attribute type protected bit, defined in class uvm_sequencer_base
arb_sequence_q
attribute
attribute type protected uvm_sequence_request, defined in class uvm_sequencer_base
arbar_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arbiter
attribute
attribute type svt_ahb_arbiter, defined in class svt_ahb_bus_env
arbiter_asserted_hmaster_ne_granted_master
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_asserted_hmastlock_without_hlock
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_asserted_multi_hgrant
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_changed_hmaster_during_lock
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_changed_hmaster_during_wait
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_lock_last_grant
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arburst_reserved_val_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arburst_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arcache_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
archunken
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk0
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk1
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk2
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk3
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARCTLCHK0_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arctlchk0_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
ARCTLCHK1_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arctlchk1_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
ARCTLCHK2_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arctlchk2_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
ARCTLCHK3_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arctlchk3_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
ardomain_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
are_associate_snoops_present
attribute
attribute type bit, defined in class svt_chi_system_transaction
are_associate_stashsnoops_present
attribute
attribute type bit, defined in class svt_chi_system_transaction
arg
function arg
arg type string, defined in function uvm_scope_stack :: set_arg
arg
function arg
arg type string, defined in function uvm_scope_stack :: set_arg_element
arg
function arg
arg type string, defined in function uvm_scope_stack :: unset_arg
arg
function arg
arg type input T, defined in function svt_downstream_imp :: write
arg
function arg
arg type string, defined in function glboal :: uvm_get_array_index_int
arg
function arg
arg type string, defined in function glboal :: uvm_get_array_index_string
arg
function arg
arg type string, defined in function glboal :: uvm_is_array
arg
function arg
arg type string, defined in function glboal :: uvm_has_wildcard
arg_addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_port_configuration :: get_tagged_addr
arg_addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_port_configuration :: get_untagged_addr
arg_addr
function arg
arg type bit [SVT_AXI_ACE_SNOOP_ADDR_WIDTH-1:0], defined in function svt_axi_snoop_transaction :: get_tagged_snoop_addr
arg_addr
function arg
arg type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in function svt_axi_system_base_sequence :: get_tagged_addr
args
function arg
arg type output string, defined in function uvm_cmdline_processor :: get_args
args
function arg
arg type output string, defined in function uvm_cmdline_processor :: get_plusargs
args
function arg
arg type output string, defined in function uvm_cmdline_processor :: get_uvm_args
args
function arg
arg type ref string, defined in function uvm_cmdline_processor :: get_arg_matches
arid_chk
attribute
attribute type rand bit [1:0] , defined in class svt_axi_transaction
arid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
ARIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arlen_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arlen_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arlen_wrap_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
ARLENCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arlock_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arloop_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARLOOPCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
ARMECIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
armmu_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARMMUCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
armmusecsid_arprot_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_with_arnse_arport_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusid_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
ARMMUSIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
armmussid_chk
attribute
attribute type rand bit [5:0] , defined in class svt_axi_transaction
ARMMUSSIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
armmussidv_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armpam_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARMPAMCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
armpamchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
arnsaid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARNSAIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arqos_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
arqos_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
array_in
function arg
arg type input byte unsigned, defined in function svt_data_converter :: scramble
array_in
function arg
arg type input byte unsigned, defined in function svt_data_converter :: unscramble
array_in
function arg
arg type input byte unsigned, defined in function svt_sequence_item :: scramble
array_in
function arg
arg type input byte unsigned, defined in function svt_sequence_item :: unscramble
array_ix
function arg
arg type input int, defined in function svt_sequence_item_base :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_sequence_item_base :: display_get_prop_val_outcome
array_ix
function arg
arg type int, defined in function svt_sequence_item_base :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_sequence_item_base :: set_prop_object
array_ix
function arg
arg type int, defined in function svt_sequence_item_base :: display_set_prop_val_outcome
array_ix
function arg
arg type output int, defined in function svt_sequence_item_base :: strip_array_element_suffix
array_ix
function arg
arg type input int, defined in function svt_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_exception :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_exception_list :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_err_check_stats :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_err_check_stats :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_err_check :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_err_check :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_sequence_item :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_sequence_item :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_8b10b_data :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_8b10b_data :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_mem :: get_prop_val
array_ix
function arg
arg type input int, defined in function svt_fifo_rate_control_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_fifo_rate_control_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_fifo_rate_control :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_fifo_rate_control :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_traffic_profile_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_traffic_profile_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_amba_addr_mapper :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_amba_addr_mapper :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_amba_fifo_rate_control_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_amba_fifo_rate_control_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_system_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_system_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_slave_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_slave_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_slave_addr_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_slave_addr_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_master_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_master_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_apb_slave_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_apb_slave_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_slave_multi_hsel_addr_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_slave_multi_hsel_addr_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_slave_addr_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_slave_addr_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_master_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_master_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_slave_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_slave_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_ahb_bus_status :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_ahb_bus_status :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_system_domain_item :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_system_domain_item :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_slave_addr_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_slave_addr_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_port_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_port_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_interconnect_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_interconnect_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_slave_region_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_slave_region_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_barrier_pair_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_barrier_pair_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_service :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_service :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_transaction_exception :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_snoop_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_snoop_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_master_snoop_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_master_snoop_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_ic_snoop_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_ic_snoop_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_master_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_master_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_slave_transaction :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_slave_transaction :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_cache :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_cache :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_fifo_mem :: get_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_callback_data :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_axi_callback_data :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_hn_addr_range :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_hn_addr_range :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_system_domain_item :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_system_domain_item :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_address_configuration :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_address_configuration :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_protocol_service :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_protocol_service :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_link_service :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_link_service :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_common_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_common_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_common_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_base_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_base_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_base_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_snoop_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_snoop_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_snoop_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_flit_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_flit_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_flit_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_rn_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_rn_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_rn_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_sn_transaction_exception :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_sn_transaction_exception :: set_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_sn_transaction_exception_list :: set_prop_val
array_ix
function arg
arg type input int, defined in function svt_axi_passive_cache :: get_prop_val
array_ix
function arg
arg type input int, defined in function svt_chi_system_monitor_system_data :: get_prop_val
array_ix
function arg
arg type int, defined in function svt_chi_system_monitor_system_data :: set_prop_val
array_out
function arg
arg type output byte unsigned, defined in function svt_data_converter :: scramble
array_out
function arg
arg type output byte unsigned, defined in function svt_data_converter :: unscramble
array_out
function arg
arg type output byte unsigned, defined in function svt_sequence_item :: scramble
array_out
function arg
arg type output byte unsigned, defined in function svt_sequence_item :: unscramble
array_warning_done
attribute
attribute type bit, defined in class uvm_status_container
arraytype
function arg
arg type string, defined in function uvm_printer :: print_array_header
arready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
ARREADY
enum value
member of svt_axi_callback_data :: signal_enum
arready_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
ARREADYCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
ARREADYCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arregion_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
arregion_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arsize_data_width_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arsize_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arsnoop_ardomain_arbar_reserve_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
artrace_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
ARTRACECHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
aruser_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
aruser_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
aruser_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
ARUSERCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
ARVALID
enum value
member of svt_axi_callback_data :: signal_enum
arvalid_arcache_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
ARVALIDCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
ARVALIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
arvmid
attribute
attribute type rand bit [SVT_AXI_MAX_VMIDEXT_WIDTH-1:0], defined in class svt_axi_transaction
ASCENDING_DATAID
enum value
member of svt_chi_common_transaction :: tx_ccf_wrap_order_false_dat_flit_order_enum
ascii_real
function arg
arg type string, defined in function svt_sequence_item_base :: safe_atoreal
asid
function arg
arg type int unsigned, defined in function svt_amba_pv_extension :: set_dvm_asid
assert_awakeup_after_valid
attribute
attribute type rand bit, defined in class svt_axi_transaction
assert_delay
function arg
arg type int, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_acwakeup_toggle_started
assert_delay
function arg
arg type int, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_awakeup_toggle_started
assert_delay
function arg
arg type int, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_acwakeup_toggle_started
assert_delay
function arg
arg type int, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_awakeup_toggle_started
assert_hbusreq_for_one_cycle_after_bus_ownership_granted
attribute
attribute type rand bit, defined in class svt_ahb_master_configuration
assign_cmo_on_write_xact_weights
function
function, defined in class svt_axi_ace_master_base_sequence,  returns type void
assign_xact_weights
function
function, defined in class svt_chi_rn_coherent_transaction_base_sequence,  returns type void
assign_xact_weights
function
function, defined in class svt_axi_ace_master_base_sequence,  returns type void
asso_eligible_sys_xacts
attribute
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data
assoc_read_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_flag_write_xact_sequence
assoc_read_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_readnosnoop_sequence
assoc_slave_port_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ic_slave_transaction
assoc_slave_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
assoc_snoop_xacts
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_ic_slave_transaction
assoc_write_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_flag_write_xact_sequence
assoc_write_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_readnosnoop_sequence
associate_barrier
attribute
attribute type rand bit, defined in class svt_axi_transaction
associate_compdata_flit_with_fwd_type_snp_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_compstashdone_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_read_dat_flit_with_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_readreceipt_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_respsepdata_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_rsp_flit_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_snprspdata_flit_with_snp_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_stashdone_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_tagmatch_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_write_dat_flit_with_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_xact_to_barrier_pair
function
function, defined in class svt_axi_master_callback,  returns type void
associated_axi_slave_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_chi_system_transaction
associated_barrier_xact
attribute
attribute type svt_axi_barrier_pair_transaction, defined in class svt_axi_transaction
associated_barrier_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_transaction
associated_barrier_xact
attribute
attribute type svt_chi_transaction, defined in class chi_rn_directed_noncoherent_xact_sequence
associated_dvm_operation_xacts
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction
associated_nonstash_snoop_xact_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_nonstashsnoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_read_chan_dvm_complete_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
associated_read_chan_dvm_operation_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
associated_respsepdata_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associated_slave_byte_count
attribute
attribute type int, defined in class svt_chi_system_transaction
associated_sn_xacts
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction
associated_snoop_chan_dvm_complete_xact
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_system_transaction
associated_snoop_trace_tag_validity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
associated_snoop_trace_tag_validity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
associated_snoop_xacts
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_system_transaction
associated_snoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_snoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data
associated_snoop_xacts_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_stashsnoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_stashsnoop_xacts_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_tagmatch_response_legal_resp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
ASSOCIATION_BUT_NO_CHECKS
enum value
member of svt_chi_system_transaction :: action_enum
associative_cache_set_index
attribute
attribute type int, defined in class svt_axi_transaction
associative_cache_tag
attribute
attribute type bit [(SVT_AXI_MAX_ADDR_WIDTH-1):0], defined in class svt_axi_transaction
associative_cache_way_number
attribute
attribute type int, defined in class svt_axi_transaction
async_init_signals
task
defined in class svt_apb_common
async_input_banned_output_race_link_active_states_timeout
attribute
attribute type int, defined in class svt_chi_node_configuration
atleast_one_atomics_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_atomics_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_atomics_enabled_chi_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_atomics_enabled_chi_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_chi_e_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_chi_e_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_exclusive_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_exclusive_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_stash_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_stash_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
ATOMIC
enum value
member of svt_axi_transaction :: xact_type_enum
ATOMIC
enum value
member of svt_chi_transaction :: xact_category_enum
atomic_associated_response_data_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_associated_response_data_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_comp_resp_err
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
atomic_compare_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compare_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_read_data_to_pack
function arg
arg type input bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in function svt_axi_transaction :: pack_atomic_compare_read_data_to_byte_stream
atomic_compare_swap_tag_match_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_compare_tag
attribute
attribute type rand bit [SVT_AXI_MAX_TAG_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compare_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_valid_tag_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_compare_wstrb
attribute
attribute type rand bit [SVT_AXI_WSTRB_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compdata_order_policy
attribute
attribute type rand svt_chi_sn_transaction :: atomic_compdata_order_policy_enum, defined in class svt_chi_sn_transaction
atomic_compdata_order_policy_enum
enum typedef
defined in class svt_chi_sn_transaction
atomic_dbid_resp_err
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
atomic_load_operation_type_c
constraint
defined in class svt_chi_system_single_node_atomicload_transaction_virtual_sequence
atomic_operation_type_c
constraint
defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence
atomic_operation_type_c
constraint
defined in class svt_chi_system_single_node_rn_atomic_transaction_ordering_virtual_sequence
atomic_read_current_data_beat_num
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_data_ready_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data_ready_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
atomic_read_data_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
atomic_read_data_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
atomic_read_data_trace_tag
attribute
attribute type rand bit, defined in class svt_axi_transaction
atomic_read_data_user
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_USER_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_data_valid_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data_valid_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
atomic_read_datacheck
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_read_datachk_parity_value
attribute
attribute type rand bit [(SVT_AXI_MAX_DATA_WIDTH/8)-1:0], defined in class svt_axi_transaction
atomic_read_is_datachk_parity_error
attribute
attribute type rand bit, defined in class svt_axi_transaction
atomic_read_is_datachk_passed
attribute
attribute type rand bit [(SVT_AXI_MAX_DATA_WIDTH/8)-1:0], defined in class svt_axi_transaction
atomic_read_physical_data
attribute
attribute type bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_poison
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH/64-1:0], defined in class svt_axi_transaction
atomic_read_poison
attribute
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_resultant_data
attribute
attribute type bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_returned_initial_data
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
atomic_returned_initial_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
atomic_returned_initial_datacheck
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_poison
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_tag_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
atomic_returned_initial_tag_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
atomic_store_load_datacheck
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_txn_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_txn_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_operation_type_c
constraint
defined in class svt_chi_system_single_node_atomicstore_transaction_virtual_sequence
atomic_swap_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_swap_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_tag
attribute
attribute type rand bit [SVT_AXI_MAX_TAG_WIDTH-1:0], defined in class svt_axi_transaction
atomic_swap_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_wstrb
attribute
attribute type rand bit [SVT_AXI_WSTRB_WIDTH-1:0], defined in class svt_axi_transaction
atomic_transaction_awlock_type_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transaction_awsnoop_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transaction_type
attribute
attribute type rand svt_axi_transaction :: atomic_transaction_type_enum, defined in class svt_axi_transaction
atomic_transaction_type_enum
enum typedef
defined in class svt_axi_transaction
atomic_transaction_valid_wstrb_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transactions_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
atomic_transactions_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
atomic_transactions_valid_ranges
constraint
defined in class svt_axi_slave_transaction
atomic_type
attribute
attribute type rand svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_transaction
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_master_write_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_master_read_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_ace_master_write_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_ace_master_read_xact_sequence
atomic_type_enum
enum typedef
defined in class svt_axi_transaction
atomic_type_match_for_non_modifiable_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_system_checker
atomic_write_data_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
atomic_write_datacheck
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_write_poison
attribute
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_xact_load_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class axi_master_atomic_load_xact_base_sequence
atomic_xact_op_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class svt_axi_transaction
atomic_xact_op_type_enum
enum typedef
defined in class svt_axi_transaction
atomic_xact_op_type_for_atomicload
constraint
defined in class axi_master_atomic_load_xact_base_sequence
atomic_xact_op_type_for_atomicstore
constraint
defined in class axi_master_atomic_store_xact_base_sequence
atomic_xact_propagation_to_slave_policy
function arg
arg type svt_chi_hn_configuration :: atomic_xact_propagation_to_slave_policy_enum, defined in function svt_chi_system_configuration :: set_hn_atomic_xact_propagation_to_slave_policy
atomic_xact_store_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class axi_master_atomic_store_xact_base_sequence
atomic_xact_type
attribute
attribute type rand atomic_transaction_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence
atomic_xact_type_c1
constraint
defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence
atomic_xacts_valid_ranges
constraint
defined in class svt_axi_transaction
ATOMICCOMPARE
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICCOMPARE
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomiccompare_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomiccompare_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicity_size
attribute
attribute type rand int, defined in class svt_axi_port_configuration
ATOMICLOAD_ADD
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_ADD
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_CLR
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_CLR
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_EOR
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_EOR
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_SET
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_SET
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_SMAX
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_SMAX
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_SMIN
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_SMIN
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_UMAX
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_UMAX
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICLOAD_UMIN
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICLOAD_UMIN
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicload_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_ADD
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_ADD
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_CLR
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_CLR
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_EOR
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_EOR
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_SET
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_SET
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_SMAX
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_SMAX
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_SMIN
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_SMIN
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_UMAX
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_UMAX
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSTORE_UMIN
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSTORE_UMIN
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicstore_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
ATOMICSWAP
enum value
member of svt_axi_transaction :: atomic_xact_op_type_enum
ATOMICSWAP
enum value
member of svt_chi_common_transaction :: xact_type_enum
atomicswap_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicswap_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
attr_name
function arg
arg type string, defined in function svt_vip_writer :: object_create
attr_name
function arg
arg type string, defined in function svt_vip_writer :: add_scope_attribute
attr_name
function arg
arg type string, defined in function svt_vip_writer :: add_stream_attribute
attr_val
function arg
arg type string, defined in function svt_vip_writer :: object_create
attr_val_type_enum
enum typedef
defined in class svt_traffic_profile_transaction
attr_value
function arg
arg type string, defined in function svt_vip_writer :: add_scope_attribute
attr_value
function arg
arg type string, defined in function svt_vip_writer :: add_stream_attribute
audit
function arg
arg type bit, defined in function uvm_component :: print_config
audit
function arg
arg type bit, defined in function uvm_resource_pool :: print_resources
audit
function arg
arg type bit, defined in function uvm_resource_pool :: dump
auto_compare
function
function, defined in class svt_sequence_item_base,  returns type bit
auto_copy
function
function, defined in class svt_sequence_item_base,  returns type void
auto_gen_dvm_complete_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
AUTO_GENERATE_LRU_XACT_AFTER_RACK
enum value
member of svt_axi_port_configuration :: allocation_rack_auto_generated_lru_xact_timing_enum
AUTO_GENERATE_LRU_XACT_BEFORE_RACK
enum value
member of svt_axi_port_configuration :: allocation_rack_auto_generated_lru_xact_timing_enum
AUTO_GENERATE_LRU_XACT_PARALLEL_RACK
enum value
member of svt_axi_port_configuration :: allocation_rack_auto_generated_lru_xact_timing_enum
auto_generated_dvm_complete_xact_started
function
function, defined in class svt_axi_port_monitor_callback,  returns type void
auto_generation_category
attribute
attribute type svt_chi_transaction :: auto_generation_category_enum, defined in class svt_chi_transaction
auto_generation_category_enum
enum typedef
defined in class svt_chi_transaction
auto_pack
function
function, defined in class svt_sequence_item_base,  returns type void
auto_parity_gen_enable
attribute
attribute type bit, defined in class svt_axi_transaction
auto_parity_gen_enable
attribute
attribute type bit, defined in class svt_axi_snoop_transaction
AUTO_READ_AFTER_PARTIAL_WRITE_XACT
enum value
member of svt_chi_transaction :: auto_generation_category_enum
auto_read_for_partial_write_xacts_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
auto_read_get_port
attribute
attribute type uvm_nonblocking_get_port, defined in class svt_chi_rn_transaction_sequencer
auto_read_seq_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
auto_unpack
function
function, defined in class svt_sequence_item_base,  returns type void
avail_sequences
function arg
arg type integer, defined in function uvm_sequencer_base :: user_priority_arbitration
average_mem_access_to_coherent_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_rn_snoop_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_rsp_gen_latency_for_l3_hit
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_slave_req_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_slave_xact_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_snoop_request_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_snoop_response_to_coh_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_transaction_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
AVG_READ_LATENCY
enum value
member of svt_axi_port_perf_status :: axi_port_perf_metric_enum
AVG_READ_LATENCY
enum value
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum
AVG_WRITE_LATENCY
enum value
member of svt_axi_port_perf_status :: axi_port_perf_metric_enum
AVG_WRITE_LATENCY
enum value
member of svt_chi_node_perf_status :: chi_node_perf_metric_enum
AW_chan_sample_event
attribute
attribute type event, defined in class svt_axi_port_monitor_def_state_cov_data_callback
awaddr_4k_boundary_cross_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awaddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_transaction
awaddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awaddr_watchdog_timeout
attribute
attribute type int unsigned, defined in class svt_axi_system_configuration
awaddr_wrap_aligned_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
AWADDRCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awakeup_assert_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
awakeup_data_before_addr
constraint
defined in class svt_axi_master_transaction
awakeup_deassert_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
awakeup_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awakeup_toggle_max_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
awakeup_toggle_min_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
awakeup_valid_with_awvalid_arvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awakeup_valid_with_sysco_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awbar_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awburst_awlen_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awburst_reserved_val_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awburst_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awcache_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awcmo_val
function arg
arg type bit [SVT_AXI_ACE_WCMO_WIDTH-1:0], defined in function svt_axi_transaction :: get_decoded_awcmo_val
awctl_chk0
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk1
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk2
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk3
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWCTLCHK0_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awctlchk0_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
AWCTLCHK1_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awctlchk1_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
AWCTLCHK2_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awctlchk2_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
AWCTLCHK3_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awctlchk3_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awdomain_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awid_chk
attribute
attribute type rand bit [1:0] , defined in class svt_axi_transaction
awid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
AWIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awlen_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awlen_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awlen_wrap_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
AWLENCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awlock_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awloop_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWLOOPCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
AWMECIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awmmu_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWMMUCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awmmusecsid_awprot_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_with_awnse_awport_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusid_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
AWMMUSIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awmmussid_chk
attribute
attribute type rand bit [5:0] , defined in class svt_axi_transaction
AWMMUSSIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awmmussidv_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmpam_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWMPAMCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awmpamchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awnsaid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWNSAIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awqos_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awqos_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
AWREADY
enum value
member of svt_axi_callback_data :: signal_enum
awready_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
AWREADYCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
AWREADYCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awregion_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awregion_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awsize_data_width_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awsize_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awsnoop_awdomain_awbar_reserve_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awstashlpid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWSTASHLPIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awstashnid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWSTASHNIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awtrace_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
AWTRACECHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awunique_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
AWUNIQUE_ERROR
enum value
member of svt_axi_transaction_exception :: error_kind_enum
awunique_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awuser_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
awuser_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awuser_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
AWUSERCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
awvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
AWVALID
enum value
member of svt_axi_callback_data :: signal_enum
awvalid_awcache_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
AWVALIDCHK
enum value
member of svt_axi_callback_data :: parity_signal_enum
AWVALIDCHK_EN
enum value
member of svt_axi_transaction :: user_inject_parity_signal_enum
axcache_axdomain_invalid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
axcache_axdomain_restriction_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
AXI3
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
axi3_4_slave_transaction_valid_ranges
constraint
defined in class svt_axi_slave_transaction
axi3_4_valid_ranges
constraint
defined in class svt_axi_transaction
AXI4
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
AXI4_LITE
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
axi4_slave
task arg
arg type int, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: directed_exclusive_sequence
axi4_slave
task arg
arg type int, defined in task svt_axi_ace_master_exclusive_access_virtual_sequence :: start_exclusive_accesses
AXI4_STREAM
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
axi4_stream_data_interleaving_depth
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
axi4_stream_slave_transaction_valid_ranges
constraint
defined in class svt_axi_slave_transaction
axi4_stream_valid_ranges
constraint
defined in class svt_axi_transaction
axi5_ace5_trace_tag_slave_transaction_valid_ranges
constraint
defined in class svt_axi_slave_transaction
AXI_ACE
enum value
member of svt_axi_port_configuration :: axi_interface_type_enum
AXI_ACE_ONLY
enum value
member of svt_axi_port_configuration :: force_xact_to_cache_line_size_interface_type_enum
axi_arr_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_arr_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_awakeup_after_axvalid_sequence
class
 
axi_awakeup_after_wvalid_sequence
class
 
axi_awakeup_before_axvalid_sequence
class
 
axi_awakeup_before_wvalid_sequence
class
 
axi_awakeup_same_axvalid_sequence
class
 
axi_awakeup_same_wvalid_sequence
class
 
axi_awr_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_awr_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_bv_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_bv_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_cache
attribute
attribute type svt_axi_cache, defined in class svt_axi_master_agent
axi_cache
task arg
arg type svt_axi_cache, defined in task svt_axi_ace_master_snoop_response_sequence :: program_snoop_response_fields
axi_cache_line_state_change_type_enum
enum typedef
defined in class svt_axi_port_configuration
axi_cache_monitor
attribute
attribute type svt_axi_cache_monitor_common, defined in class svt_axi_master_agent
axi_checker
attribute
attribute type svt_axi_checker, defined in class svt_axi_exclusive_monitor
axi_downstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
axi_external_port_cfg
attribute
attribute type svt_axi_port_configuration, defined in class svt_axi_master_agent
axi_external_port_cfg
attribute
attribute type svt_axi_port_configuration, defined in class svt_axi_slave_agent
axi_external_port_id
attribute
attribute type int, defined in class svt_axi_master_agent
axi_external_port_id
attribute
attribute type int, defined in class svt_axi_slave_agent
AXI_FLEX_AGENT_AND_COMBINED_ACTIVITY_TRACE_FILES
enum value
member of svt_axi_system_configuration :: axi_trace_file_gen_policy_enum
AXI_FLEX_COMBINED_ACTIVITY_TRACE_FILE
enum value
member of svt_axi_system_configuration :: axi_trace_file_gen_policy_enum
axi_ic_if
function arg
arg type AXI_IF, defined in function svt_axi_interconnect_configuration :: set_ic_if
axi_ic_scheduler_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
axi_if
function arg
arg type AXI_IF, defined in function svt_axi_system_configuration :: new
axi_if
function arg
arg type AXI_IF, defined in function svt_axi_system_configuration :: set_if
AXI_IF
interface typedef
defined in class svt_amba_system_env
AXI_IF
interface typedef
defined in global
axi_interconnect
function arg
arg type svt_axi_interconnect, defined in function svt_axi_interconnect_callback :: post_input_port_get
axi_interconnect
function arg
arg type svt_axi_interconnect, defined in function svt_axi_interconnect_callback :: pre_output_port_put
axi_interconnect
function arg
arg type svt_axi_interconnect, defined in function svt_axi_interconnect_callback :: post_master_to_slave_xact_mapping
axi_interconnect
function arg
arg type svt_axi_interconnect, defined in function svt_axi_interconnect_callback :: post_slave_xact_gen
AXI_INTERFACE
enum value
member of svt_amba_system_configuration :: amba_interface_type_enum
axi_interface_category
attribute
attribute type rand svt_axi_port_configuration :: axi_interface_category_enum, defined in class svt_axi_port_configuration
axi_interface_category_enum
enum typedef
defined in class svt_axi_port_configuration
axi_interface_type
attribute
attribute type rand svt_axi_port_configuration :: axi_interface_type_enum, defined in class svt_axi_port_configuration
axi_interface_type_enum
enum typedef
defined in class svt_axi_port_configuration
axi_intf
function arg
arg type svt_axi_port_configuration :: axi_interface_type_enum, defined in function svt_axi_system_configuration :: get_random_axi_master_interface_port
axi_intf
function arg
arg type svt_axi_port_configuration :: axi_interface_type_enum, defined in function svt_axi_system_configuration :: get_num_active_participating_masters
axi_intf
function arg
arg type svt_axi_port_configuration :: axi_interface_type_enum, defined in function svt_axi_system_configuration :: get_num_active_participating_dvm_enabled_masters
AXI_LSB
enum value
member of svt_axi_system_configuration :: source_master_info_position_enum
AXI_MASTER
enum value
member of svt_axi_port_configuration :: axi_port_kind_enum
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: post_input_port_get
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: input_port_cov
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_address_phase_started
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_write_data_phase_started
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_data_stream_started
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: post_memory_update_xact_gen
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: post_snoop_input_port_get
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: snoop_input_port_cov
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_snoop_data_phase_started
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_snoop_resp_phase_started
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: post_service_input_port_get
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: pre_cache_update
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: post_cache_update
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: associate_xact_to_barrier_pair
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_callback :: modify_computed_parity_value
axi_master
function arg
arg type svt_axi_master, defined in function svt_axi_master_overlapping_addr_check_callback :: post_input_port_get
axi_master_atomic_compare_xact_base_sequence
class
 
axi_master_atomic_compare_xact_base_virtual_sequence
class typedef
defined in global
axi_master_atomic_load_xact_base_sequence
class
 
axi_master_atomic_load_xact_base_virtual_sequence
class typedef
defined in global
axi_master_atomic_store_xact_base_sequence
class
 
axi_master_atomic_store_xact_base_virtual_sequence
class typedef
defined in global
axi_master_atomic_swap_xact_base_sequence
class
 
axi_master_atomic_swap_xact_base_virtual_sequence
class typedef
defined in global
axi_master_port_id
attribute
attribute type int, defined in class svt_chi_system_transaction
axi_master_port_id_to_chi_rn_node_idx
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_master_rdata_chunk_err_sequence
class
 
axi_master_rdata_chunk_wr_rd_sequence
class
 
axi_master_sequencer
function arg
arg type svt_axi_master_sequencer, defined in function svt_axi_master_sequencer_callback :: post_tlm_gp_to_axi_mapping
axi_master_wr_rd_parallel_sequence
class
 
axi_master_wr_rd_single_outstanding_per_id_sequence
class
 
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: valid_ready_action
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: pre_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: toggle_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: transaction_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: change_dynamic_port_cfg
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: read_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: auto_generated_dvm_complete_xact_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: read_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: read_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: write_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: pre_response_request_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: pre_snoop_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_snoop_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_acwakeup_toggle_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_acwakeup_toggle_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_awakeup_toggle_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: new_snoop_channel_awakeup_toggle_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: snoop_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: stream_transfer_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: stream_transfer_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: pre_tlm_generic_payload_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_callback :: pre_tlm_generic_payload_snoop_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_system_checker_callback :: new_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_system_checker_callback :: new_snoop_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: new_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: transaction_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: pre_snoop_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: new_snoop_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: snoop_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: read_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: read_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: read_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: write_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: stream_transfer_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_pa_writer_callbacks :: stream_transfer_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: write_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: read_address_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: read_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_sled_writer_callbacks :: read_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: pre_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: valid_ready_action
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_acwakeup_toggle_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_acwakeup_toggle_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_awakeup_toggle_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_snoop_channel_awakeup_toggle_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: new_transaction_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: snoop_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: snoop_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: transaction_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: read_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: stream_transfer_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: write_data_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: write_resp_phase_started
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: pre_snoop_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_cov_data_callback :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: write_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: read_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: write_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: snoop_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: snoop_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: snoop_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_state_cov_data_callback :: stream_transfer_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: write_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: read_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: write_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: read_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: write_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: pre_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: toggle_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: pre_snoop_output_port_put
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: snoop_address_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: snoop_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: snoop_resp_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_def_toggle_cov_data_callback :: stream_transfer_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_transaction_report_callback :: transaction_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_transaction_report_callback :: snoop_data_phase_ended
axi_monitor
function arg
arg type svt_axi_port_monitor, defined in function svt_axi_port_monitor_transaction_report_callback :: snoop_resp_phase_ended
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_port_monitor_def_cov_callback
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_port_monitor_amba5_def_cov_callback
axi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_axi_port_monitor_def_state_cov_callback
axi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_system_monitor_def_cov_callback
AXI_MSB
enum value
member of svt_axi_system_configuration :: source_master_info_position_enum
axi_mstr_port_idx
function arg
arg type output int, defined in function svt_amba_chi_sys_to_axi_sys_mapper :: get_mapped_indexes
axi_mstr_snps_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_mstr_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_overlap_addr_access_control_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_master_overlapping_addr_check_callback
axi_port_id
function arg
arg type int, defined in function svt_amba_system_configuration :: get_chi_sn_node_idx_sys_id
axi_port_id
function arg
arg type int, defined in function svt_amba_system_configuration :: get_chi_rn_node_idx_sys_id
axi_port_kind
attribute
attribute type svt_axi_port_configuration :: axi_port_kind_enum, defined in class svt_axi_port_configuration
axi_port_kind_enum
enum typedef
defined in class svt_axi_port_configuration
axi_port_perf_metric
function arg
arg type svt_axi_port_perf_status :: axi_port_perf_metric_enum, defined in function svt_axi_port_perf_status :: get_perf_metric
axi_port_perf_metric_enum
enum typedef
defined in class svt_axi_port_perf_status
AXI_PROTOCOL
enum value
member of svt_amba_system_monitor_transaction_xml_callback :: protocol_type_enum
axi_qos_accept_valid_ranges
constraint
defined in class svt_axi_service
axi_r1v_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_r1v_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_rbv
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_rd_addr_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_rd_resp_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
AXI_READ_ONLY
enum value
member of svt_axi_port_configuration :: axi_interface_category_enum
axi_read_resp_OOO_count
attribute
attribute type protected bit, defined in class svt_axi_port_monitor_def_cov_data_callback
AXI_READ_WRITE
enum value
member of svt_axi_port_configuration :: axi_interface_category_enum
axi_reg_trans
attribute
attribute type svt_axi_master_reg_transaction, defined in class svt_axi_reg_adapter
axi_regmodel
attribute
attribute type uvm_reg_block, defined in class svt_axi_master_agent
AXI_SLAVE
enum value
member of svt_axi_port_configuration :: axi_port_kind_enum
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: post_input_port_get
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: input_port_cov
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: pre_read_data_phase_started
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: pre_write_resp_phase_started
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: post_service_input_port_get
axi_slave
function arg
arg type svt_axi_slave, defined in function svt_axi_slave_callback :: modify_computed_parity_value
axi_slave_if
attribute
attribute type virtual svt_axi_slave_if, defined in class svt_axi_slave_service_base_sequence
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_slave_agent
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_slave_base_sequence
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_ic_slave_agent
axi_slave_port_id
attribute
attribute type int, defined in class svt_chi_system_transaction
axi_slave_port_id
function arg
arg type int, defined in function svt_amba_system_configuration :: set_axi_slave_to_chi_sn_map
axi_slave_port_id_to_chi_sn_node_idx
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_slave_wr_rd_memory_response_sequence
class
 
axi_slv_channel_buffers_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
axi_slv_port_idx
function arg
arg type int, defined in function svt_amba_chi_sys_to_axi_sys_mapper :: get_mapped_indexes
axi_slv_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_snoop_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
AXI_STD_AGENT_TRACE_FILES
enum value
member of svt_axi_system_configuration :: axi_trace_file_gen_policy_enum
axi_sys_cfg
attribute
attribute type rand svt_axi_system_configuration, defined in class svt_amba_system_configuration
axi_sys_id
function arg
arg type int, defined in function svt_amba_system_configuration :: get_chi_sn_node_idx_sys_id
axi_sys_id
function arg
arg type int, defined in function svt_amba_system_configuration :: get_chi_rn_node_idx_sys_id
axi_sys_id
function arg
arg type output int, defined in function svt_amba_system_configuration :: get_axi_master_port_id_sys_id
axi_sys_id
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_sysmon_debug
macro
 
axi_system
attribute
attribute type svt_axi_system_env, defined in class svt_amba_system_env
axi_system_id
function arg
arg type int, defined in function svt_amba_system_configuration :: set_axi_slave_to_chi_sn_map
axi_system_id
function arg
arg type int, defined in function svt_amba_system_configuration :: set_ace_lite_to_rn_i_map
axi_system_sequencer
attribute
attribute type svt_axi_system_sequencer, defined in class svt_amba_system_sequencer
axi_trace_file_gen_policy
attribute
attribute type svt_axi_system_configuration :: axi_trace_file_gen_policy_enum, defined in class svt_axi_system_configuration
axi_trace_file_gen_policy_enum
enum typedef
defined in class svt_axi_system_configuration
axi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
axi_upstream_transaction_ended
function
function, defined in class svt_amba_system_monitor_callback,  returns type void
axi_wbr
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_wr_addr_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_wr_data_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_wr_resp_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
AXI_WRITE_ONLY
enum value
member of svt_axi_port_configuration :: axi_interface_category_enum
axi_write_resp_OOO_count
attribute
attribute type protected bit, defined in class svt_axi_port_monitor_def_cov_data_callback
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_chi_system_transaction :: set_rn_xact
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_configuration :: map_axi_acelite_port_id_to_chi_lpid
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_configuration :: get_chi_sn_node_idx
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_monitor_callback :: post_ahb_to_axi_xact_conversion
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_monitor_callback :: post_apb_to_axi_xact_conversion
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_monitor_transaction_xml_callback :: post_ahb_to_axi_xact_conversion
axi_xact
function arg
arg type svt_axi_transaction, defined in function svt_amba_system_monitor_transaction_xml_callback :: post_apb_to_axi_xact_conversion
axi_xacts
function arg
arg type ref svt_axi_master_transaction, defined in function svt_axi_master_sequencer_callback :: post_tlm_gp_to_axi_mapping
axmmussid_valid_with_value_with_axmmussidv_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
AxSNOOP
function arg
arg type bit [3:0] , defined in function svt_amba_pv_extension :: set_snoop