VIP Smartsearch

X
  • VIP Smartsearch is a framework that supports search within VIP reference documents using query in natural language. It facilitates reordering of search results and keeps record of user’s decision for the ordering of result display and applies that in search of same query on subsequent usage.
  • How to download VIP smartsearch?

    1. Get VIP Smartsearch (Available as a seperate run file).
    2. Set environment variable
      DESIGNWARE_HOME
      to required designware home location where VIP Smartsearch should be downloaded.
    3. Run
      vip_smartsearch_<version>.run
      file.
      VIP Smartsearch will be downloaded to the location
      $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
  • How to install VIP Smartsearch?

    Please refer to the file
    VIP_Smartsearch_installation_and_usage_guide.pdf
    in
    $DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
    for installation steps.
  • Customer Support

    For more details about VIP smartsearch tool, contact support_center@synopsys.com.
    Mention your queries along with below details and send email to above email id.
    Product: Verification IP
    Sub Product: <vip_title>
    Tool: VIP Smartsearch

AMBA SVT UVM Documentation - attributes index - a

abf_enable
attribute
attribute type bit, defined in class svt_chi_system_configuration
abf_flush_count
attribute
attribute type int, defined in class svt_chi_hn_status
aborted_xact_status
attribute
attribute type svt_ahb_transaction :: aborted_xact_status_enum, defined in class svt_ahb_transaction
abstract
attribute
attribute type bit, defined in class uvm_comparer
abstract
attribute
attribute type bit, defined in class uvm_packer
abstract
attribute
attribute type bit, defined in class uvm_recorder
abstractions
attribute
attribute type string, defined in class uvm_reg_mem_hdl_paths_seq
acaddr_aligned_to_cddata_width_valid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acaddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_snoop_transaction
acaddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acaddrchk_parity_value
attribute
attribute type bit [7:0] , defined in class svt_axi_snoop_transaction
access
attribute
attribute type access_t, defined in class uvm_resource_base
access_to_access
attribute
attribute type svt_err_check_stats, defined in class svt_apb_checker
acctl_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
acctlchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
acctlchk_parity_value
attribute
attribute type bit, defined in class svt_axi_snoop_transaction
ace_coh_xact_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_exclusive_select
attribute
attribute type rand int, defined in class svt_axi_ace_master_exclusive_access_virtual_sequence
ace_lite_coh_xact_id
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_t1_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_t2_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_coh_xact_type
attribute
attribute type protected svt_axi_transaction :: coherent_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_master_snoop_xact_type
attribute
attribute type protected svt_axi_snoop_transaction :: snoop_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_lite_ports
attribute
attribute type int, defined in class svt_axi_ace_master_base_virtual_sequence
ace_master_final_cache_state
attribute
attribute type protected svt_axi_snoop_transaction :: cache_line_state_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_master_init_cache_state
attribute
attribute type protected svt_axi_snoop_transaction :: cache_line_state_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_master_snoop_xact_type
attribute
attribute type protected svt_axi_snoop_transaction :: snoop_xact_type_enum, defined in class svt_axi_port_monitor_def_cov_data_callback
ace_ports
attribute
attribute type int, defined in class svt_axi_ace_master_base_virtual_sequence
ace_version
attribute
attribute type svt_axi_port_configuration :: ace_version_enum, defined in class svt_axi_port_configuration
ack_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
acprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acready_delay
attribute
attribute type rand int, defined in class svt_axi_snoop_transaction
acready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
acsnoop_reserved_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
active_duration
attribute
attribute type real, defined in class svt_amba_perf_calc_base
active_dvm_q
attribute
attribute type protected svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_participating_masters
attribute
attribute type int, defined in class svt_axi_system_base_sequence
active_participating_masters
attribute
attribute type int, defined in class svt_ahb_system_base_sequence
active_participating_q
attribute
attribute type int, defined in class svt_chi_system_barrier_sequence
active_participating_slave_index
attribute
attribute type rand int unsigned, defined in class svt_axi_system_base_sequence
active_participating_slave_index
attribute
attribute type rand int unsigned, defined in class svt_apb_system_base_sequence
active_participating_slave_index_0
attribute
attribute type rand int unsigned, defined in class svt_ahb_system_base_sequence
active_participating_slave_index_2
attribute
attribute type rand int unsigned, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_slave_response_ictest_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_axi_system_base_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_ahb_system_base_sequence
active_participating_slaves
attribute
attribute type int, defined in class svt_apb_system_base_sequence
active_read_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_rn_xacts
attribute
attribute type svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
active_seq_queue
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence, defined in class svt_chi_system_coherent_virtual_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_same_slave_response_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_write_overlap_addr_same_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_same_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_diff_id_normal_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_diff_id_device_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi4_ordering_read_overlap_addr_same_id_device_memory_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_read_without_wait_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_read_write_same_id_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_read_same_id_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_read_same_id_from_diff_masters_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_from_diff_masters_ictest_sequence
active_slaves
attribute
attribute type int, defined in class svt_axi_ordering_write_same_id_device_non_bufferable_memory_diff_masters_response_ictest_sequence
active_write_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_master_multipart_dvm_virtual_sequence
active_xacts
attribute
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_rn_coherent_transaction_base_sequence
active_xacts
attribute
attribute type protected svt_chi_rn_transaction, defined in class svt_chi_system_coherent_virtual_sequence
active_xml_start_time
attribute
attribute type realtime, defined in class svt_sequence_item
actrace_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
actv_txn_q
attribute
attribute type svt_axi_transaction, defined in class svt_axi_master_base_sequence
acvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
acvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
acvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
acvmid
attribute
attribute type rand bit [SVT_AXI_MAX_VMIDEXT_WIDTH-1:0], defined in class svt_axi_snoop_transaction
acvmidext_chk
attribute
attribute type rand bit, defined in class svt_axi_snoop_transaction
acwakeup_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
acwakeup_toggle_max_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
acwakeup_toggle_min_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
acwakeup_valid_with_acvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
adapter
attribute
attribute type uvm_reg_adapter, defined in class uvm_reg_sequence
adapter
attribute
attribute type uvm_reg_adapter, defined in class uvm_reg_predictor
add_to_start_addr
attribute
attribute type bit [SVT_CHI_MAX_ADDR_WIDTH-1:0], defined in class svt_chi_system_coherent_virtual_sequence
addr
attribute
attribute type uvm_reg_addr_t, defined in class uvm_reg_map_info
addr
attribute
attribute type bit, defined in class uvm_predict_s
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_transaction
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AHB_MAX_ADDR_WIDTH-1:0], defined in class svt_ahb_master_transaction_read_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_transaction
addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_fifo_mem
addr
attribute
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class svt_chi_common_transaction
addr
attribute
attribute type rand bit [(SVT_CHI_MAX_ADDR_WIDTH-1):0], defined in class chi_rn_directed_noncoherent_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_master_read_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_read_xact_sequence
addr
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_master_write_xact_sequence
addr
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_master_read_xact_sequence
addr_aligned_unaligned16_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned16_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_aligned_unaligned32_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned32_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_aligned_unaligned64_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_master_monitor_def_cov_data_callback
addr_aligned_unaligned64_coverpoint
attribute
attribute type protected bit [1:0] , defined in class svt_apb_slave_monitor_def_cov_data_callback
addr_boundary_check_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
addr_collection_q
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_write_same_slave_sequence
addr_gen_type
attribute
attribute type rand svt_traffic_profile_transaction :: addr_val_type_enum, defined in class svt_traffic_profile_transaction
addr_idle_value
attribute
attribute type svt_ahb_configuration :: idle_val_enum, defined in class svt_ahb_configuration
addr_index_m0
attribute
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence
addr_index_m1
attribute
attribute type int, defined in class svt_axi_slave_diff_write_resp_for_diff_masters_sequence
addr_int
attribute
attribute type int, defined in class svt_axi4_lite_master_random_sequence
addr_last
attribute
attribute type protected bit [1023:0] , defined in class svt_ahb_master_monitor_def_cov_data_callback
addr_last
attribute
attribute type protected bit [1023:0] , defined in class svt_ahb_slave_monitor_def_cov_data_callback
addr_mode
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_rn_coherent_transaction_base_sequence
addr_mode
attribute
attribute type svt_chi_rn_coherent_transaction_base_sequence :: addressing_mode_enum, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
addr_mode
attribute
attribute type rand svt_chi_system_coherent_virtual_sequence :: addr_mode_type, defined in class svt_chi_system_coherent_virtual_sequence
addr_mode
attribute
attribute type svt_axi_ace_master_base_sequence :: addr_mode_enum, defined in class svt_axi_ace_master_base_sequence
addr_mode_select
attribute
attribute type bit, defined in class svt_axi_ace_master_single_port_base_virtual_sequence
addr_mode_select
attribute
attribute type int, defined in class svt_axi_ace_master_snoop_during_memory_update_sequence
addr_mode_status
attribute
attribute type bit, defined in class svt_chi_system_single_node_rn_coherent_transaction_base_virtual_sequence
addr_mode_status
attribute
attribute type bit, defined in class svt_chi_system_coherent_virtual_sequence
addr_offset_coverpoint
attribute
attribute type protected reg [6:0] , defined in class svt_axi_port_monitor_def_cov_data_callback
addr_overlap_slave_xacts_started_after_curr_xact_queue
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
addr_phase_active_write_xacts
attribute
attribute type protected svt_axi_transaction, defined in class svt_axi_system_monitor_def_cov_data_callback
addr_ready_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_ready_assertion_time
attribute
attribute type realtime, defined in class svt_axi_transaction
addr_ready_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
addr_region
attribute
attribute type bit [SVT_MEM_MAX_ADDR_REGION_WIDTH-1:0], defined in class svt_mem
addr_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
addr_translated_from_pcie
attribute
attribute type rand bit, defined in class svt_axi_transaction
addr_translation_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
addr_twodim_stride
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
addr_twodim_yrange
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
addr_user
attribute
attribute type rand bit [SVT_AXI_MAX_ADDR_USER_WIDTH-1:0], defined in class svt_axi_transaction
addr_user_width
attribute
attribute type rand int, defined in class svt_axi_port_configuration
addr_valid_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_valid_assertion_time
attribute
attribute type realtime, defined in class svt_axi_transaction
addr_valid_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
addr_wakeup_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
addr_wakeup_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
addr_width
attribute
attribute type rand int, defined in class svt_ahb_configuration
addr_width
attribute
attribute type rand int, defined in class svt_axi_port_configuration
addr_width
attribute
attribute type rand int, defined in class svt_chi_node_configuration
addr_xrange
attribute
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction
address
attribute
attribute type rand bit [SVT_APB_MAX_ADDR_WIDTH-1:0], defined in class svt_apb_transaction
address
attribute
attribute type rand address, defined in class svt_axi_write_same_slave_sequence
address
attribute
attribute type rand address, defined in class svt_axi_read_same_slave_sequence
address_based_flush_policy
attribute
attribute type svt_chi_hn_status :: address_based_flush_policy_enum, defined in class svt_chi_hn_status
address_based_flushing_started
attribute
attribute type bit, defined in class svt_chi_hn_status
address_not_aligned_when_unaligned_address_support_not_enabled
attribute
attribute type svt_err_check_stats, defined in class svt_apb_checker
address_to_suspend
attribute
attribute type longint, defined in class svt_axi_slave_suspend_read_response_on_address_sequence
address_to_suspend
attribute
attribute type longint, defined in class svt_axi_slave_suspend_write_response_on_address_sequence
advanced_byte_enable_coverage_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
advanced_transaction_coverage_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
advertised_curr_l_credit_high_range_start_value
attribute
attribute type rand int, defined in class svt_chi_node_configuration
advertised_curr_l_credit_medium_range_start_value
attribute
attribute type rand int, defined in class svt_chi_node_configuration
after_export
attribute
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator
after_export
attribute
attribute type uvm_analysis_export, defined in class uvm_algorithmic_comparator
age
attribute
attribute type longint, defined in class svt_axi_ace_master_snoop_response_sequence
agent
attribute
attribute type svt_axi_slave_agent, defined in class svt_axi_slave_tlm_response_sequence
agent
attribute
attribute type svt_ahb_slave_agent, defined in class svt_ahb_slave_tlm_response_sequence
agent
attribute
attribute type svt_apb_slave_agent, defined in class svt_apb_slave_tlm_response_sequence
ahb3
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb5
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb_address_phase_extended
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_external_port_cfg
attribute
attribute type svt_ahb_master_configuration, defined in class svt_ahb_master_agent
ahb_external_port_cfg
attribute
attribute type svt_ahb_slave_configuration, defined in class svt_ahb_slave_agent
ahb_external_port_id
attribute
attribute type int, defined in class svt_ahb_master_agent
ahb_external_port_id
attribute
attribute type int, defined in class svt_ahb_slave_agent
ahb_interface_type
attribute
attribute type rand svt_ahb_bus_configuration :: ahb_interface_type_enum, defined in class svt_ahb_bus_configuration
ahb_lite
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb_lite_multilayer
attribute
attribute type bit, defined in class svt_ahb_system_configuration
ahb_lite_retry_response
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_lite_split_response
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
ahb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback
ahb_monitor_mp
attribute
attribute type protected AHB_MASTER_IF_MP, defined in class svt_ahb_master_monitor_def_cov_data_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_state_cov_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_master_monitor_def_toggle_cov_data_callbacks
ahb_monitor_mp
attribute
attribute type protected AHB_SLAVE_IF_MP, defined in class svt_ahb_slave_monitor_def_cov_data_callback
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_toggle_cov_data_callbacks
ahb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_ahb_slave_monitor_def_state_cov_callback
ahb_reg_trans
attribute
attribute type svt_ahb_master_reg_transaction, defined in class svt_ahb_reg_adapter
ahb_regmodel
attribute
attribute type uvm_reg_block, defined in class svt_ahb_master_agent
ahb_slave_mem
attribute
attribute type svt_mem, defined in class svt_ahb_slave_agent
ahb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback
ahb_sys_cfg
attribute
attribute type rand svt_ahb_system_configuration, defined in class svt_amba_system_configuration
ahb_system
attribute
attribute type svt_ahb_system_env, defined in class svt_amba_system_env
ahb_system_sequencer
attribute
attribute type svt_ahb_system_sequencer, defined in class svt_amba_system_sequencer
ahb_valid_beat_address_check
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_checker
align_addr_atomicity_size_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
aligned_addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_ace_master_snoop_response_sequence
aligned_addr
attribute
attribute type bit [SVT_AXI_MAX_ADDR_WIDTH-1:0], defined in class svt_axi_cacheline_invalidation
aligned_addr_to_cache_line_size
attribute
attribute type bit [(SVT_CHI_MAX_TAGGED_ADDR_WIDTH-1):0], defined in class svt_chi_system_transaction
all_beat_response
attribute
attribute type svt_ahb_transaction :: response_type_enum, defined in class svt_ahb_transaction
all_dropped
attribute
attribute type event, defined in class uvm_objection_events
all_responses_recieved_for_write_with_cmo_or_cmo_on_write_transaction_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
allocate_in_cache
attribute
attribute type rand bit, defined in class svt_axi_transaction
allocate_in_cache
attribute
attribute type rand bit, defined in class svt_chi_transaction
allocate_in_cache_data_for_tag_fetch_readunique
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATA_WIDTH-1):0], defined in class svt_chi_transaction
allocation_rack_auto_generated_lru_xact_timing
attribute
attribute type svt_axi_port_configuration :: allocation_rack_auto_generated_lru_xact_timing_enum, defined in class svt_axi_port_configuration
allow_act_in_tx_stop_rx_deact
attribute
attribute type rand bit, defined in class svt_chi_link_service
allow_both_continue_and_abort_on_error_resp_policy
attribute
attribute type bit, defined in class svt_ahb_master_configuration
allow_cache_update_on_coherent_error_response
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_cache_update_on_excl_access_with_okay_response
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_deact_in_tx_run_rx_act
attribute
attribute type rand bit, defined in class svt_chi_link_service
allow_dmt_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_dvm_sync_without_prior_non_sync
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_dwt_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_early_comp_for_nonsync_dvmop
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_early_dvm_response_to_master
attribute
attribute type bit, defined in class svt_axi_system_configuration
allow_exclusive_store_without_exclusive_load
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
allow_exclusive_store_without_exclusive_load
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_first_exclusive_store_to_succeed
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
allow_link_active_signal_banned_output_race_transitions
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_multiple_dvm_sync_oustanding_xacts
attribute
attribute type rand bit, defined in class svt_chi_node_configuration
allow_non_coherent_exclusive_transactions_with_mismatched_attr_targetted_to_the_same_cache_line
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_overlapped_read_and_write_channel_coherent_transactions
attribute
attribute type bit, defined in class svt_axi_port_configuration
allow_protocol_flits_transmission_in_tx_lasm_deactivate_state
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_readnosnpsep_from_rn_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allow_same_node_id_within_port_interleaving_group
attribute
attribute type bit, defined in class svt_chi_system_configuration
allow_slaves_with_overlapping_addr
attribute
attribute type rand bit, defined in class svt_ahb_system_configuration
allow_slaves_with_overlapping_addr
attribute
attribute type rand bit, defined in class svt_axi_system_configuration
allow_was_unique_zero_in_unique_state
attribute
attribute type bit, defined in class svt_axi_port_configuration
allow_writedatacancel_from_rn_for_writenosnpfull_xact_when_hn_is_absent
attribute
attribute type bit, defined in class svt_chi_node_configuration
allowed_error_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
allowed_retry_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
allowed_split_count_per_xact
attribute
attribute type int, defined in class svt_ahb_slave_transaction_memory_sequence
amba_ahb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_master_monitor_system_checker_callback
amba_ahb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_ahb_slave_monitor_system_checker_callback
amba_apb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_master_monitor_system_checker_callback
amba_apb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_slave_monitor_system_checker_callback
amba_axi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
amba_chi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_node_protocol_monitor_system_checker_callback
amba_fifo_curr_fill_level
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
amba_shared_status
attribute
attribute type svt_amba_status, defined in class svt_amba_system_env
amba_sys_cfg
attribute
attribute type svt_amba_system_configuration, defined in class svt_amba_system_monitor_configuration
amba_sys_cfg
attribute
attribute type svt_amba_system_configuration, defined in class svt_chi_system_monitor_common_utils
amba_sys_mon_cfg
attribute
attribute type rand svt_amba_system_monitor_configuration, defined in class svt_amba_system_configuration
amba_sys_mon_xml_gen_cb
attribute
attribute type protected svt_amba_system_monitor_transaction_xml_callback, defined in class svt_amba_system_monitor
amba_system_coverage_enable
attribute
attribute type bit, defined in class svt_amba_system_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_apb_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_ahb_configuration
amba_system_port_id
attribute
attribute type int, defined in class svt_axi_port_configuration
amba_total_expected_fill_level
attribute
attribute type real, defined in class svt_amba_fifo_rate_control
amba_trace_file_gen_policy
attribute
attribute type svt_amba_system_configuration :: amba_trace_file_gen_policy_enum, defined in class svt_amba_system_configuration
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_tlm_analysis_fifo
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_subscriber
analysis_export
attribute
attribute type uvm_analysis_imp, defined in class uvm_sequencer_analysis_fifo
analysis_port
attribute
attribute type uvm_analysis_port, defined in class svt_dispatch
ap
attribute
attribute type uvm_analysis_imp, defined in class svt_chi_sn_transaction_sequencer
apb3_enable
attribute
attribute type rand bit, defined in class svt_apb_system_configuration
apb4_enable
attribute
attribute type rand bit, defined in class svt_apb_system_configuration
apb_external_port_cfg
attribute
attribute type svt_apb_slave_configuration, defined in class svt_apb_slave_agent
apb_external_port_id
attribute
attribute type int, defined in class svt_apb_master_agent
apb_external_port_id
attribute
attribute type int, defined in class svt_apb_slave_agent
apb_external_sys_cfg
attribute
attribute type svt_apb_system_configuration, defined in class svt_apb_master_agent
apb_master_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_master_monitor_system_checker_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_master_monitor_def_toggle_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_master_monitor_def_state_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_slave_monitor_def_toggle_cov_data_callback
apb_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_apb_slave_monitor_def_state_cov_data_callback
apb_reg_trans
attribute
attribute type svt_apb_master_reg_transaction, defined in class svt_apb_reg_adapter
apb_slave_mem
attribute
attribute type svt_apb_memory, defined in class svt_apb_slave_agent
apb_slave_mem
attribute
attribute type svt_apb_memory, defined in class svt_apb_slave_memory_sequence
apb_slave_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_apb_slave_monitor_system_checker_callback
apb_sys_cfg
attribute
attribute type rand svt_apb_system_configuration, defined in class svt_amba_system_configuration
apb_system
attribute
attribute type svt_apb_system_env, defined in class svt_amba_system_env
apb_system_sequencer
attribute
attribute type svt_apb_system_sequencer, defined in class svt_amba_system_sequencer
apb_xact
attribute
attribute type svt_apb_transaction, defined in class svt_apb_master_monitor_pa_writer_callback
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_ahb_master_transaction_sequencer
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_axi_master_sequencer
apply_data_ready
attribute
attribute type uvm_event, defined in class svt_axi_slave_sequencer
ar_aw_stalled_for_ac_channel
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
AR_chan_sample_event
attribute
attribute type event, defined in class svt_axi_port_monitor_def_state_cov_data_callback
araddr_4k_boundary_cross_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
araddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_transaction
araddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
araddr_wrap_aligned_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arb_completed
attribute
attribute type protected bit, defined in class uvm_sequencer_base
arb_sequence_q
attribute
attribute type protected uvm_sequence_request, defined in class uvm_sequencer_base
arbar_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arbiter
attribute
attribute type svt_ahb_arbiter, defined in class svt_ahb_bus_env
arbiter_asserted_hmaster_ne_granted_master
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_asserted_hmastlock_without_hlock
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_asserted_multi_hgrant
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_changed_hmaster_during_lock
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_changed_hmaster_during_wait
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arbiter_lock_last_grant
attribute
attribute type svt_err_check_stats, defined in class svt_ahb_system_checker
arburst_reserved_val_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arburst_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arcache_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
archunken
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk0
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk1
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk2
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctl_chk3
attribute
attribute type rand bit, defined in class svt_axi_transaction
arctlchk0_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
arctlchk1_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
arctlchk2_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
arctlchk3_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
ardomain_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
are_associate_snoops_present
attribute
attribute type bit, defined in class svt_chi_system_transaction
are_associate_stashsnoops_present
attribute
attribute type bit, defined in class svt_chi_system_transaction
arid_chk
attribute
attribute type rand bit [1:0] , defined in class svt_axi_transaction
arid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arlen_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arlen_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arlen_wrap_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arlock_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arloop_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
armmu_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
armmusecsid_arprot_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_with_arnse_arport_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusecsid_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armmusid_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
armmussid_chk
attribute
attribute type rand bit [5:0] , defined in class svt_axi_transaction
armmussidv_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
armpam_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
armpamchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
arnsaid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arqos_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
arqos_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
array_warning_done
attribute
attribute type bit, defined in class uvm_status_container
arready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arready_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
arregion_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
arregion_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arsize_data_width_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arsize_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arsnoop_ardomain_arbar_reserve_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
artrace_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
aruser_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
aruser_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
aruser_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arvalid_arcache_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
arvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
arvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
arvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
arvmid
attribute
attribute type rand bit [SVT_AXI_MAX_VMIDEXT_WIDTH-1:0], defined in class svt_axi_transaction
assert_awakeup_after_valid
attribute
attribute type rand bit, defined in class svt_axi_transaction
assert_hbusreq_for_one_cycle_after_bus_ownership_granted
attribute
attribute type rand bit, defined in class svt_ahb_master_configuration
asso_eligible_sys_xacts
attribute
attribute type svt_chi_system_transaction, defined in class svt_chi_system_monitor_end_of_simulation_callback_data
assoc_read_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_flag_write_xact_sequence
assoc_read_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_readnosnoop_sequence
assoc_slave_port_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ic_slave_transaction
assoc_slave_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
assoc_snoop_xacts
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_ic_slave_transaction
assoc_write_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_flag_write_xact_sequence
assoc_write_barrier_xact
attribute
attribute type svt_axi_master_transaction, defined in class svt_axi_ace_barrier_readnosnoop_sequence
associate_barrier
attribute
attribute type rand bit, defined in class svt_axi_transaction
associate_compdata_flit_with_fwd_type_snp_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_compstashdone_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_read_dat_flit_with_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_readreceipt_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_respsepdata_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_rsp_flit_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_snprspdata_flit_with_snp_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_stashdone_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_tagmatch_to_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associate_write_dat_flit_with_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associated_axi_slave_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_chi_system_transaction
associated_barrier_xact
attribute
attribute type svt_axi_barrier_pair_transaction, defined in class svt_axi_transaction
associated_barrier_xact
attribute
attribute type svt_chi_transaction, defined in class svt_chi_transaction
associated_barrier_xact
attribute
attribute type svt_chi_transaction, defined in class chi_rn_directed_noncoherent_xact_sequence
associated_dvm_operation_xacts
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction
associated_nonstash_snoop_xact_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_nonstashsnoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_read_chan_dvm_complete_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
associated_read_chan_dvm_operation_xacts
attribute
attribute type svt_axi_transaction, defined in class svt_axi_system_transaction
associated_respsepdata_response_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associated_slave_byte_count
attribute
attribute type int, defined in class svt_chi_system_transaction
associated_sn_xacts
attribute
attribute type svt_chi_transaction, defined in class svt_chi_system_transaction
associated_snoop_chan_dvm_complete_xact
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_system_transaction
associated_snoop_trace_tag_validity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
associated_snoop_trace_tag_validity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
associated_snoop_xacts
attribute
attribute type svt_axi_snoop_transaction, defined in class svt_axi_system_transaction
associated_snoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_snoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_monitor_chi_coherent_snoop_association_callback_data
associated_snoop_xacts_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_stashsnoop_xacts
attribute
attribute type svt_chi_snoop_transaction, defined in class svt_chi_system_transaction
associated_stashsnoop_xacts_type
attribute
attribute type svt_chi_common_transaction :: snp_req_msg_type_enum, defined in class svt_chi_system_transaction
associated_tagmatch_response_legal_resp_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
associative_cache_set_index
attribute
attribute type int, defined in class svt_axi_transaction
associative_cache_tag
attribute
attribute type bit [(SVT_AXI_MAX_ADDR_WIDTH-1):0], defined in class svt_axi_transaction
associative_cache_way_number
attribute
attribute type int, defined in class svt_axi_transaction
async_input_banned_output_race_link_active_states_timeout
attribute
attribute type int, defined in class svt_chi_node_configuration
atleast_one_atomics_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_atomics_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_atomics_enabled_chi_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_atomics_enabled_chi_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_chi_e_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_chi_e_rn_i_rn_d
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_exclusive_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_exclusive_enabled_chi_rn_f
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atleast_one_stash_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_def_cov_callback
atleast_one_stash_enabled_chi_rn
attribute
attribute type bit, defined in class svt_chi_system_monitor_issue_e_def_cov_callback
atomic_associated_response_data_packets_legal_cache_state_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_associated_response_data_packets_legal_resperr_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_comp_resp_err
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
atomic_compare_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compare_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_swap_tag_match_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_compare_tag
attribute
attribute type rand bit [SVT_AXI_MAX_TAG_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compare_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_compare_valid_tag_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_protocol_err_check
atomic_compare_wstrb
attribute
attribute type rand bit [SVT_AXI_WSTRB_WIDTH-1:0], defined in class svt_axi_transaction
atomic_compdata_order_policy
attribute
attribute type rand svt_chi_sn_transaction :: atomic_compdata_order_policy_enum, defined in class svt_chi_sn_transaction
atomic_dbid_resp_err
attribute
attribute type rand svt_chi_common_transaction :: resp_err_status_enum, defined in class svt_chi_transaction
atomic_read_current_data_beat_num
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_data_ready_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data_ready_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
atomic_read_data_status
attribute
attribute type svt_sequence_item :: status_enum, defined in class svt_axi_transaction
atomic_read_data_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
atomic_read_data_trace_tag
attribute
attribute type rand bit, defined in class svt_axi_transaction
atomic_read_data_user
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_USER_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_data_valid_assertion_cycle
attribute
attribute type int, defined in class svt_axi_transaction
atomic_read_data_valid_assertion_time
attribute
attribute type real, defined in class svt_axi_transaction
atomic_read_datacheck
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_read_datachk_parity_value
attribute
attribute type rand bit [(SVT_AXI_MAX_DATA_WIDTH/8)-1:0], defined in class svt_axi_transaction
atomic_read_is_datachk_parity_error
attribute
attribute type rand bit, defined in class svt_axi_transaction
atomic_read_is_datachk_passed
attribute
attribute type rand bit [(SVT_AXI_MAX_DATA_WIDTH/8)-1:0], defined in class svt_axi_transaction
atomic_read_physical_data
attribute
attribute type bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_read_poison
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH/64-1:0], defined in class svt_axi_transaction
atomic_read_poison
attribute
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_resultant_data
attribute
attribute type bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_returned_initial_data
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
atomic_returned_initial_data_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
atomic_returned_initial_datacheck
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_poison
attribute
attribute type bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_returned_initial_tag_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_chi_system_err_check
atomic_returned_initial_tag_integrity_check
attribute
attribute type svt_err_check_stats, defined in class svt_amba_multi_chip_system_err_check
atomic_store_load_datacheck
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_txn_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_LD_ST_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_store_load_txn_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_data
attribute
attribute type rand bit [SVT_AXI_MAX_DATA_WIDTH-1:0], defined in class svt_axi_transaction
atomic_swap_data
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_DATA_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_datacheck
attribute
attribute type rand bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_poison
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_tag
attribute
attribute type rand bit [SVT_AXI_MAX_TAG_WIDTH-1:0], defined in class svt_axi_transaction
atomic_swap_tag
attribute
attribute type rand bit [(SVT_CHI_MAX_ATOMIC_TAG_WIDTH-1):0], defined in class svt_chi_transaction
atomic_swap_wstrb
attribute
attribute type rand bit [SVT_AXI_WSTRB_WIDTH-1:0], defined in class svt_axi_transaction
atomic_transaction_awlock_type_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transaction_awsnoop_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transaction_type
attribute
attribute type rand svt_axi_transaction :: atomic_transaction_type_enum, defined in class svt_axi_transaction
atomic_transaction_valid_wstrb_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
atomic_transactions_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
atomic_transactions_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
atomic_type
attribute
attribute type rand svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_transaction
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_master_write_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_master_read_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_ace_master_write_xact_sequence
atomic_type
attribute
attribute type svt_axi_transaction :: atomic_type_enum, defined in class svt_axi_ace_master_read_xact_sequence
atomic_type_match_for_non_modifiable_xact_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_system_checker
atomic_write_data_tag_op
attribute
attribute type rand svt_chi_common_transaction :: tag_op_enum, defined in class svt_chi_transaction
atomic_write_datacheck
attribute
attribute type bit [(SVT_CHI_XACT_MAX_DATACHECK_WIDTH-1):0], defined in class svt_chi_transaction
atomic_write_poison
attribute
attribute type bit [(SVT_CHI_XACT_MAX_POISON_WIDTH-1):0], defined in class svt_chi_transaction
atomic_xact_load_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class axi_master_atomic_load_xact_base_sequence
atomic_xact_op_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class svt_axi_transaction
atomic_xact_store_type
attribute
attribute type rand svt_axi_transaction :: atomic_xact_op_type_enum, defined in class axi_master_atomic_store_xact_base_sequence
atomic_xact_type
attribute
attribute type rand atomic_transaction_type_enum, defined in class svt_chi_system_single_node_rn_atomic_transaction_base_virtual_sequence
atomiccompare_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomiccompare_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicity_size
attribute
attribute type rand int, defined in class svt_axi_port_configuration
atomicload_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicload_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicload_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_add_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_clr_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_eor_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_set_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_smax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_smin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_umax_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicstore_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicstore_umin_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
atomicswap_wt
attribute
attribute type int unsigned, defined in class svt_chi_rn_coherent_transaction_base_sequence
atomicswap_wt
attribute
attribute type int unsigned, defined in class svt_chi_system_coherent_virtual_sequence
auto_gen_dvm_complete_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
auto_generation_category
attribute
attribute type svt_chi_transaction :: auto_generation_category_enum, defined in class svt_chi_transaction
auto_parity_gen_enable
attribute
attribute type bit, defined in class svt_axi_transaction
auto_parity_gen_enable
attribute
attribute type bit, defined in class svt_axi_snoop_transaction
auto_read_for_partial_write_xacts_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
auto_read_get_port
attribute
attribute type uvm_nonblocking_get_port, defined in class svt_chi_rn_transaction_sequencer
auto_read_seq_enable
attribute
attribute type bit, defined in class svt_chi_node_configuration
average_mem_access_to_coherent_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_rn_snoop_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_rsp_gen_latency_for_l3_hit
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_slave_req_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_slave_xact_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_snoop_request_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_snoop_response_to_coh_response_gen_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
average_transaction_latency
attribute
attribute type real, defined in class svt_chi_system_hn_status
AW_chan_sample_event
attribute
attribute type event, defined in class svt_axi_port_monitor_def_state_cov_data_callback
awaddr_4k_boundary_cross_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awaddr_chk
attribute
attribute type rand bit [7:0] , defined in class svt_axi_transaction
awaddr_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awaddr_watchdog_timeout
attribute
attribute type int unsigned, defined in class svt_axi_system_configuration
awaddr_wrap_aligned_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awakeup_assert_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
awakeup_deassert_delay
attribute
attribute type rand int, defined in class svt_axi_transaction
awakeup_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awakeup_toggle_max_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
awakeup_toggle_min_delay_during_idle
attribute
attribute type rand int, defined in class svt_axi_port_configuration
awakeup_valid_with_awvalid_arvalid_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awakeup_valid_with_sysco_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awbar_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awburst_awlen_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awburst_reserved_val_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awburst_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awcache_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awctl_chk0
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk1
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk2
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctl_chk3
attribute
attribute type rand bit, defined in class svt_axi_transaction
awctlchk0_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awctlchk1_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awctlchk2_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awctlchk3_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awdomain_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awid_chk
attribute
attribute type rand bit [1:0] , defined in class svt_axi_transaction
awid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awlen_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awlen_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awlen_wrap_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awlock_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awloop_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awmmu_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awmmusecsid_awprot_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusecsid_valid_with_awnse_awport_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmmusid_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
awmmussid_chk
attribute
attribute type rand bit [5:0] , defined in class svt_axi_transaction
awmmussidv_valid_with_atst_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awmpam_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awmpamchk_enable
attribute
attribute type bit, defined in class svt_axi_port_configuration
awnsaid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awprot_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awqos_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awqos_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awready
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awready_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awready_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awready_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awready_watchdog_timeout
attribute
attribute type int, defined in class svt_axi_system_configuration
awregion_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awregion_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awsize_data_width_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awsize_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awsnoop_awdomain_awbar_reserve_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awsnoop_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awstashlpid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awstashnid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awtrace_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awunique_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awunique_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awuser_chk
attribute
attribute type rand bit [3:0] , defined in class svt_axi_transaction
awuser_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
awuser_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
awvalid
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awvalid_awcache_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_transaction
awvalid_chk
attribute
attribute type rand bit, defined in class svt_axi_callback_data
awvalid_interrupted_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_low_when_reset_is_active_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
awvalid_toggle_cov
attribute
attribute type svt_axi_toggle_bit_cov, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
axcache_axdomain_invalid_value_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
axcache_axdomain_restriction_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker
axi4_stream_data_interleaving_depth
attribute
attribute type protected int, defined in class svt_axi_port_monitor_def_cov_data_callback
axi_arr_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_arr_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_awr_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_awr_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_bv_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_bv_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_cache
attribute
attribute type svt_axi_cache, defined in class svt_axi_master_agent
axi_cache_monitor
attribute
attribute type svt_axi_cache_monitor_common, defined in class svt_axi_master_agent
axi_checker
attribute
attribute type svt_axi_checker, defined in class svt_axi_exclusive_monitor
axi_external_port_cfg
attribute
attribute type svt_axi_port_configuration, defined in class svt_axi_master_agent
axi_external_port_cfg
attribute
attribute type svt_axi_port_configuration, defined in class svt_axi_slave_agent
axi_external_port_id
attribute
attribute type int, defined in class svt_axi_master_agent
axi_external_port_id
attribute
attribute type int, defined in class svt_axi_slave_agent
axi_ic_scheduler_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
axi_interface_category
attribute
attribute type rand svt_axi_port_configuration :: axi_interface_category_enum, defined in class svt_axi_port_configuration
axi_interface_type
attribute
attribute type rand svt_axi_port_configuration :: axi_interface_type_enum, defined in class svt_axi_port_configuration
axi_master_port_id
attribute
attribute type int, defined in class svt_chi_system_transaction
axi_master_port_id_to_chi_rn_node_idx
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_port_monitor_def_cov_callback
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_port_monitor_amba5_def_cov_callback
axi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_axi_port_monitor_def_state_cov_callback
axi_monitor_mp
attribute
attribute type MONITOR_MP, defined in class svt_axi_port_monitor_def_toggle_cov_data_callback
axi_monitor_mp
attribute
attribute type virtual, defined in class svt_axi_system_monitor_def_cov_callback
axi_mstr_snps_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_mstr_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_overlap_addr_access_control_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_master_overlapping_addr_check_callback
axi_port_kind
attribute
attribute type svt_axi_port_configuration :: axi_port_kind_enum, defined in class svt_axi_port_configuration
axi_r1v_max
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_r1v_min
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_rbv
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_rd_addr_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_rd_resp_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_read_resp_OOO_count
attribute
attribute type protected bit, defined in class svt_axi_port_monitor_def_cov_data_callback
axi_reg_trans
attribute
attribute type svt_axi_master_reg_transaction, defined in class svt_axi_reg_adapter
axi_regmodel
attribute
attribute type uvm_reg_block, defined in class svt_axi_master_agent
axi_slave_if
attribute
attribute type virtual svt_axi_slave_if, defined in class svt_axi_slave_service_base_sequence
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_slave_agent
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_slave_base_sequence
axi_slave_mem
attribute
attribute type svt_mem, defined in class svt_axi_ic_slave_agent
axi_slave_port_id
attribute
attribute type int, defined in class svt_chi_system_transaction
axi_slave_port_id_to_chi_sn_node_idx
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_slv_channel_buffers_enable
attribute
attribute type rand bit, defined in class svt_axi_port_configuration
axi_slv_to_chi_sys_mon_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_chi_system_env
axi_snoop_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
axi_sys_cfg
attribute
attribute type rand svt_axi_system_configuration, defined in class svt_amba_system_configuration
axi_sys_id
attribute
attribute type int, defined in class svt_amba_chi_sys_to_axi_sys_mapper
axi_system
attribute
attribute type svt_axi_system_env, defined in class svt_amba_system_env
axi_system_sequencer
attribute
attribute type svt_axi_system_sequencer, defined in class svt_amba_system_sequencer
axi_trace_file_gen_policy
attribute
attribute type svt_axi_system_configuration :: axi_trace_file_gen_policy_enum, defined in class svt_axi_system_configuration
axi_transaction_fifo
attribute
attribute type uvm_tlm_fifo, defined in class svt_axi_port_monitor_system_checker_callback
axi_wbr
attribute
attribute type int, defined in class svt_axi_slave_traffic_profile_sequence
axi_wr_addr_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_wr_data_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_wr_resp_buffer
attribute
attribute type rand integer, defined in class svt_axi_port_configuration
axi_write_resp_OOO_count
attribute
attribute type protected bit, defined in class svt_axi_port_monitor_def_cov_data_callback
axmmussid_valid_with_value_with_axmmussidv_signals_check
attribute
attribute type svt_err_check_stats, defined in class svt_axi_checker