|
data |
attribute |
attribute type svt_sequence_item_base, defined in class svt_sequence_item_base_queue |
| data |
attribute |
attribute type rand svt_mem_data_t, defined in class svt_mem_transaction |
| data |
attribute |
attribute type rand bit [7:0] , defined in class svt_dti_transaction |
|
data_10bit |
attribute |
attribute type rand bit [9:0] , defined in class svt_8b10b_data |
|
data_8bit |
attribute |
attribute type rand bit [7:0] , defined in class svt_8b10b_data |
|
data_gen_type |
attribute |
attribute type rand svt_traffic_profile_transaction :: attr_val_type_enum, defined in class svt_traffic_profile_transaction |
|
data_injected |
attribute |
attribute type bit, defined in class svt_exception_list |
|
data_k |
attribute |
attribute type rand bit, defined in class svt_8b10b_data |
|
data_mask_width |
attribute |
attribute type rand int unsigned, defined in class svt_mem_suite_configuration |
|
data_max |
attribute |
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction |
|
data_min |
attribute |
attribute type rand bit [1023:0] , defined in class svt_traffic_profile_transaction |
|
data_read_permitted_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
data_strobe_width |
attribute |
attribute type rand int unsigned, defined in class svt_mem_suite_configuration |
|
data_tt |
attribute |
attribute type bit [63:0] , defined in class svt_dti_slave_response_api_sequence |
|
data_wdth |
attribute |
attribute type int, defined in class svt_mem |
|
data_width |
attribute |
attribute type rand int, defined in class svt_mem_configuration |
| data_width |
attribute |
attribute type rand int unsigned, defined in class svt_dti_port_configuration |
|
debug_prop |
attribute |
attribute type debug_prop_struct, defined in class svt_debug_vip_descriptor |
|
dec_radix |
attribute |
attribute type string, defined in class uvm_printer_knobs |
|
default_alloc |
attribute |
attribute type uvm_mem_mam_policy, defined in class uvm_mem_mam |
|
default_fail_effect |
attribute |
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats |
|
default_file_handle |
attribute |
attribute type UVM_FILE, defined in class uvm_report_handler |
|
default_implementation_xact_factory |
attribute |
attribute type svt_sequence_item, defined in class svt_sequence_item |
|
default_map |
attribute |
attribute type uvm_reg_map, defined in class uvm_reg_block |
|
default_pass_effect |
attribute |
attribute type svt_err_check_stats :: fail_effect_enum, defined in class svt_err_check_stats |
|
default_path |
attribute |
attribute type uvm_path_e, defined in class uvm_reg_block |
|
default_precedence |
attribute |
attribute type static int unsigned, defined in class uvm_resource_base |
|
default_radix |
attribute |
attribute type uvm_radix_enum, defined in class uvm_recorder |
| default_radix |
attribute |
attribute type uvm_radix_enum, defined in class uvm_printer_knobs |
|
default_sequence |
attribute |
attribute type protected string, defined in class uvm_sequencer_base |
|
delayed_response_request_port |
attribute |
attribute type uvm_blocking_put_port, defined in class svt_dti_slave_sequencer |
|
demoted_id_count |
attribute |
attribute type protected int, defined in class svt_err_catcher |
|
demoted_id_limit |
attribute |
attribute type protected int, defined in class svt_err_catcher |
|
demoted_messages_count |
attribute |
attribute type protected int, defined in class svt_err_catcher |
|
demoted_messages_limit |
attribute |
attribute type protected int, defined in class svt_err_catcher |
|
depth |
attribute |
attribute type int, defined in class uvm_comparer |
| depth |
attribute |
attribute type int, defined in class uvm_printer_knobs |
|
descr |
attribute |
attribute type string, defined in class svt_err_check_report_catcher |
|
description |
attribute |
attribute type string, defined in class uvm_objection_context_object |
| description |
attribute |
attribute type protected string, defined in class svt_err_check_stats |
|
destructive_read_permitted |
attribute |
attribute type rand bit, defined in class svt_dti_transaction |
|
destructive_read_permitted_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
destructive_read_permitted_v4_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
device_param_xact |
attribute |
attribute type svt_dti_transaction, defined in class svt_dti_master_base_sequence |
| device_param_xact |
attribute |
attribute type svt_dti_transaction, defined in class svt_dti_slave_base_sequence |
|
directed_cache_prefetch |
attribute |
attribute type rand bit, defined in class svt_dti_transaction |
|
directed_cache_prefetch_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
directed_cache_prefetch_v4_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dispatch_sema |
attribute |
attribute type semaphore, defined in class svt_dti_master_env |
| dispatch_sema |
attribute |
attribute type semaphore, defined in class svt_dti_slave_env |
|
dispatch_semaphore |
attribute |
attribute type protected semaphore, defined in class svt_dispatch |
|
dispatch_seq |
attribute |
attribute type protected svt_dispatch_sequence, defined in class svt_dispatch |
|
display_kind |
attribute |
attribute type static int, defined in class svt_sequence_item_base |
|
display_xact_phase_messages |
attribute |
attribute type bit, defined in class svt_dti_port_configuration |
|
do_not_cache |
attribute |
attribute type rand bit, defined in class svt_dti_transaction |
|
do_not_cache_field_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
DO_NOT_CATCH |
attribute |
attribute type static const int, defined in class uvm_report_catcher |
|
DO_NOT_MODIFY |
attribute |
attribute type static const int, defined in class uvm_report_catcher |
|
do_not_randomize |
attribute |
attribute type bit, defined in class uvm_sequence_base |
|
do_walk_enable |
attribute |
attribute type bit, defined in class svt_dti_slave_response_translation_table_sequence |
| do_walk_enable |
attribute |
attribute type bit, defined in class svt_dti_slave_tbu_trans_response_using_translation_table_n_ste_cd_sequence |
|
driver |
attribute |
attribute type svt_dti_master, defined in class svt_dti_master_agent |
| driver |
attribute |
attribute type svt_dti_slave, defined in class svt_dti_slave_agent |
|
dropped |
attribute |
attribute type event, defined in class uvm_objection_events |
|
dropping_response_catcher |
attribute |
attribute type static protected svt_dropping_response_report_catcher, defined in class svt_sequencer |
|
dti_api |
attribute |
attribute type svt_dti_slave_response_api_sequence, defined in class svt_dti_slave_response_translation_table_sequence |
|
dti_ats_no_translation_invalidation_token_zero_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_ats_no_translation_msg_type_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_ats_no_translation_trl_gnt_token_zero_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_ats_no_translation_trl_req_token_zero_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_ats_no_translation_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_cfg |
attribute |
attribute type rand svt_dti_port_configuration, defined in class svt_dti_env_configuration |
|
dti_checker |
attribute |
attribute type svt_dti_checker, defined in class svt_dti_port_monitor_common |
|
dti_ident_one_flow_atst_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_master |
attribute |
attribute type svt_dti_master_agent, defined in class svt_dti_master_env |
|
dti_master_env |
attribute |
attribute type svt_dti_master_env, defined in class svt_dti_system_env |
|
dti_master_env_sequencer |
attribute |
attribute type svt_dti_master_env_sequencer, defined in class svt_dti_system_sequencer |
|
dti_master_msg_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_slave_sequencer |
|
dti_master_msg_to_slave_seqr_out |
attribute |
attribute type dti_get_port_connector, defined in class svt_dti_port_monitor |
|
dti_master_out_to_axi_master_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_master_env |
|
dti_master_rsp_to_driver_out |
attribute |
attribute type dti_get_port_connector, defined in class svt_dti_master_sequencer |
|
dti_master_sequencer |
attribute |
attribute type svt_dti_master_sequencer, defined in class svt_dti_master_env_sequencer |
|
dti_mbox |
attribute |
attribute type mailbox, defined in class dti_get_port_connector |
|
dti_mmuv_one_nse_ns_in_trans_resp_when_sec_sid_realm |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_nse_ns_in_trans_resp_when_sec_sid_secure |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_non_secure_mpam_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_non_secure_trans_req_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_non_secure_trans_resp_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_realm_mpam_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_realm_trans_req_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_realm_trans_resp_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_secure_mpam_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_secure_trans_req_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_one_sec_sid_secure_trans_resp_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_alloc_cfg_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_attr_ovr_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_bypass_type_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_bypass_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_fault_response_msg_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_fault_response_type_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_ident_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_ind_pnu_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_inst_cfg_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_nse_ns_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_nsx_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_partid_pmg_hwattr_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_priv_cfg_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_mmuv_zero_sid_ssid_ssv_sec_sid_flow_rsvd_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_out |
attribute |
attribute type uvm_blocking_get_imp, defined in class dti_get_port_connector |
|
dti_port_kind |
attribute |
attribute type svt_dti_port_configuration :: dti_port_kind_enum, defined in class svt_dti_port_configuration |
|
dti_port_monitor |
attribute |
attribute type svt_dti_port_monitor, defined in class svt_dti_port_monitor_common |
|
dti_req_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_port_monitor |
|
dti_reqex_zero_permitted_trans_resp_msg_type_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_request_watchdog_timeout |
attribute |
attribute type int, defined in class svt_dti_port_configuration |
|
dti_response_watchdog_timeout |
attribute |
attribute type int, defined in class svt_dti_port_configuration |
|
dti_rsp_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_port_monitor |
|
dti_rx_cfg |
attribute |
attribute type rand svt_axi_port_configuration, defined in class svt_dti_env_configuration |
|
dti_slave |
attribute |
attribute type svt_dti_slave_agent, defined in class svt_dti_slave_env |
|
dti_slave_env |
attribute |
attribute type svt_dti_slave_env, defined in class svt_dti_system_env |
|
dti_slave_env_sequencer |
attribute |
attribute type svt_dti_slave_env_sequencer, defined in class svt_dti_system_sequencer |
|
dti_slave_mem |
attribute |
attribute type svt_mem, defined in class svt_dti_slave_base_sequence |
|
dti_slave_msg_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_master_sequencer |
|
dti_slave_msg_to_master_seqr_out |
attribute |
attribute type dti_get_port_connector, defined in class svt_dti_port_monitor |
|
dti_slave_out_to_axi_master_inp |
attribute |
attribute type uvm_blocking_get_port, defined in class svt_dti_slave_env |
|
dti_slave_req_to_driver_out |
attribute |
attribute type dti_get_port_connector, defined in class svt_dti_slave_sequencer |
|
dti_slave_sequencer |
attribute |
attribute type svt_dti_slave_sequencer, defined in class svt_dti_slave_env_sequencer |
|
dti_slave_xact |
attribute |
attribute type svt_dti_transaction, defined in class svt_dti_slave_base_sequence |
|
dti_spec_version |
attribute |
attribute type svt_dti_env_configuration :: dti_spec_version_enum, defined in class svt_dti_env_configuration |
|
dti_tbu_condis_ack_valid_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_tbu_condis_req_valid_state_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_tbu_imp_msg_type1_msg_type_field_encoding_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_tbu_imp_msg_type2_msg_type_field_encoding_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_tbu_trans_req_flow_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_bp_type_permitted_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_bp_type_reserved_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_ident_output_address_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_mmuv_one_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_mmuv_zero_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_nscfg_use_incoming_when_sec_sid_ns_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_resp_aset_value_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_resp_trans_rng_value_v3_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_respex_mecid_zero_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_trans_stream_world_el3_v3_check |
attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
|
dti_tx_cfg |
attribute |
attribute type rand svt_axi_port_configuration, defined in class svt_dti_env_configuration |
|
dti_version |
attribute |
attribute type rand svt_dti_port_configuration :: dti_version_enum, defined in class svt_dti_port_configuration |
|
dynamic_checks |
attribute |
attribute type bit, defined in class svt_err_check |