How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| wait_begin | task |
defined in class svt_sequence_item |
| wait_end | task |
defined in class svt_sequence_item |
| wait_end_last_implementation | task |
defined in class svt_sequence_item |
| wait_event_off | task |
defined in class svt_event_pool |
| wait_event_on | task |
defined in class svt_event_pool |
| wait_event_trigger | task |
defined in class svt_event_pool |
| wait_for | task |
defined in class uvm_objection |
| wait_for | task |
defined in class uvm_barrier |
| wait_for_any_change | task arg |
arg type bit, defined in task svt_dti_port_monitor :: wait_for_state_change |
| wait_for_causal_ref | task |
defined in class svt_sequence_item |
| wait_for_causal_ref_thru_time_unit | task |
defined in class svt_sequence_item |
| wait_for_fifo_full | task |
defined in class svt_fifo_rate_control |
| wait_for_grant | task |
defined in class uvm_sequencer_base |
| wait_for_grant | task |
defined in class uvm_sequence_base |
| wait_for_input_event | task |
defined in class svt_traffic_arbiter |
| wait_for_item_done | task |
defined in class uvm_sequencer_base |
| wait_for_item_done | task |
defined in class uvm_sequence_base |
| wait_for_next | task |
defined in class svt_sequence_item_base_iter |
| wait_for_next | task |
defined in class svt_sequence_item_base_queue_iter |
| wait_for_out_of_reset | task |
defined in class svt_dti_slave |
| wait_for_prev | task |
defined in class svt_sequence_item_base_iter |
| wait_for_prev | task |
defined in class svt_sequence_item_base_queue_iter |
| wait_for_relevant | task |
defined in class uvm_sequence_base |
| wait_for_req | task |
defined in class svt_reactive_sequencer |
| wait_for_req | task |
defined in class svt_reactive_sequence |
| wait_for_reset | task |
defined in class svt_fsm |
| wait_for_sequence_state | task |
defined in class uvm_sequence_base |
| wait_for_sequences | task |
defined in class uvm_sequencer_base |
| wait_for_sequences | task |
defined in class uvm_sqr_if_base |
| wait_for_sequences | task |
defined in class uvm_seq_item_pull_port |
| wait_for_sequences | task |
defined in class uvm_seq_item_pull_export |
| wait_for_sequences | task |
defined in class uvm_seq_item_pull_imp |
| wait_for_state | task |
defined in class uvm_phase |
| wait_for_state_change | task |
defined in class svt_dti_port_monitor |
| wait_for_state_transition | task |
defined in class svt_fsm |
| wait_for_timeout | task |
defined in class svt_timer |
| wait_for_total_count | task |
defined in class uvm_objection |
| wait_modified | task |
defined in class uvm_resource_base |
| wait_modified | task |
defined in class uvm_config_db |
| wait_off | task |
defined in class uvm_event |
| wait_off | task |
defined in class svt_controlled_event |
| wait_on | task |
defined in class uvm_event |
| wait_on | task |
defined in class svt_controlled_event |
| wait_ptrigger | task |
defined in class uvm_event |
| wait_ptrigger | task |
defined in class svt_controlled_event |
| wait_ptrigger_data | task |
defined in class uvm_event |
| wait_time | task arg |
arg type int, defined in task svt_sequence_item :: wait_for_causal_ref_thru_time_unit |
| wait_trigger | task |
defined in class uvm_event |
| wait_trigger | task |
defined in class svt_controlled_event |
| wait_trigger_data | task |
defined in class uvm_event |
| wakeup | function arg |
arg type bit, defined in function uvm_barrier :: reset |
| wakeup | function arg |
arg type bit, defined in function uvm_event :: reset |
| warning_count | function arg |
arg type output int, defined in function svt_mem_sa_core_2state :: get_msg_counts |
| warning_count | function arg |
arg type output int, defined in function svt_mem_sa_core_4state :: get_msg_counts |
| wdat | task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field :: pre_write |
| wdat | task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_field :: post_write |
| wdat | task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg :: pre_write |
| wdat | task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg :: post_write |
| wdat | task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_field_cbs :: pre_write |
| wdat | task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_field_cbs :: post_write |
| wdat | task arg |
arg type ref uvm_reg_data_t, defined in task uvm_vreg_cbs :: pre_write |
| wdat | task arg |
arg type uvm_reg_data_t, defined in task uvm_vreg_cbs :: post_write |
| weight | function |
function, defined in class svt_mem_all_parts, returns type int |
| what | function arg |
arg type int, defined in function uvm_status_container :: get_function_type |
| what | function arg |
arg type int, defined in function uvm_report_catcher :: debug_report_catcher |
| what__ | function arg |
arg type int, defined in function uvm_recorder :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_object :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_class_pair :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_built_in_pair :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_sequence_library_cfg :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_sequence_library :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_tlm_generic_payload :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_field :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_vreg_field :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_item :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_map :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_tlm_adapter :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_backdoor :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_read_only_cbs :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_write_only_cbs :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_hw_reset_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_single_bit_bash_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_bit_bash_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_mem_single_walk_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_mem_walk_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_mem_single_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_mem_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_single_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_mem_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_shared_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_mem_shared_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_mem_shared_access_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_mem_built_in_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function uvm_reg_mem_hdl_paths_seq :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_mem_sequencer :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_slave_transaction_sequence_library :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_slave_sequencer :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_connect_disconnect_req_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_trans_req_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_reg_resp_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_page_n_trans_req_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_page_req_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_dynamic_reset_req_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_master_dynamic_reset_req_reconnect_sequence :: __m_uvm_field_automation |
| what__ | function arg |
arg type int, defined in function svt_dti_slave_response_api_sequence :: __m_uvm_field_automation |
| where | function arg |
arg type string, defined in function uvm_typed_callbacks :: m_cb_find_name |
| width | function arg |
arg type int unsigned, defined in function uvm_tlm_generic_payload :: set_streaming_width |
| width | function arg |
arg type int unsigned, defined in function uvm_reg_block :: check_data_width |
| width | function arg |
arg type int, defined in function svt_data_converter :: initialize_crc |
| width | function arg |
arg type int, defined in function svt_sequence_item :: initialize_crc |
| width | function arg |
arg type svt_mem_width_t, defined in function svt_mem_vendor_part_base :: new |
| width | function arg |
arg type svt_mem_width_t, defined in function svt_mem_vendor_part :: new |
| with_header | function arg |
arg type bit, defined in function svt_sequence_item_report :: psdisplay_xact |
| with_header | function arg |
arg type bit, defined in function svt_sequence_item_report :: psdisplay_xact_queue |
| with_phase | function arg |
arg type uvm_phase, defined in function uvm_phase :: add |
| with_phase | function arg |
arg type uvm_phase, defined in function uvm_phase :: sync |
| with_phase | function arg |
arg type uvm_phase, defined in function uvm_phase :: unsync |
| wr_val | function arg |
arg type uvm_reg_data_t, defined in function uvm_reg_field :: XpredictX |
| wrap | task arg |
arg type uvm_object_wrapper, defined in task uvm_sequence_library :: execute |
| write | function |
function, defined in class uvm_resource, returns type void |
| write | function |
function, defined in class uvm_tlm_if_base, returns type void |
| write | function |
function, defined in class uvm_analysis_port, returns type void |
| write | function |
function, defined in class uvm_analysis_imp, returns type void |
| write | function |
function, defined in class uvm_analysis_export, returns type void |
| write | function |
function, defined in class uvm_tlm_analysis_fifo, returns type void |
| write | function |
function, defined in class uvm_algorithmic_comparator, returns type void |
| write | function |
function, defined in class uvm_subscriber, returns type void |
| write | function |
function, defined in class uvm_sequencer_analysis_fifo, returns type void |
| write | task |
defined in class uvm_reg_field |
| write | task |
defined in class uvm_vreg_field |
| write | task |
defined in class uvm_reg |
| write | task |
defined in class uvm_vreg |
| write | task |
defined in class uvm_mem |
| write | task |
defined in class uvm_reg_indirect_data |
| write | function |
function, defined in class uvm_reg_predictor, returns type void |
| write | task |
defined in class uvm_reg_backdoor |
| write | task |
defined in class uvm_mem_region |
| write | function |
function, defined in class svt_downstream_imp, returns type void |
| write | function |
function, defined in class svt_mem_word, returns type bit |
| write | function |
function, defined in class svt_mem, returns type bit |
| write | function |
function, defined in class svt_mem_vendor_part_base, returns type void |
| write | function |
function, defined in class svt_mem_vendor_catalog, returns type void |
| write | function |
function, defined in class svt_mem_sa_core_2state, returns type int |
| write | function |
function, defined in class svt_mem_sa_core_4state, returns type int |
| write | function |
function, defined in class dti_env_axi_listener, returns type void |
| write_by_name | function |
function, defined in class uvm_resource_db, returns type bit |
| write_by_type | function |
function, defined in class uvm_resource_db, returns type bit |
| write_masked | function |
function, defined in class svt_mem_sa_core_2state, returns type int |
| write_masked | function |
function, defined in class svt_mem_sa_core_4state, returns type int |
| write_mem | task |
defined in class uvm_reg_sequence |
| write_mem_by_name | task |
defined in class uvm_reg_block |
| write_reg | task |
defined in class uvm_reg_sequence |
| write_reg_by_name | task |
defined in class uvm_reg_block |
| write_shelf | function |
function, defined in class svt_mem_vendor_catalog, returns type void |
| writememh | task |
defined in class uvm_reg_block |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_prop_vals_to_xml |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_object_begin |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_object_data |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_pattern_to_xml |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_child_refs |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: save_ref |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item_base :: is_safe_as_ref |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_sequence_item :: save_prop_vals_to_xml |
| writer | function arg |
arg type svt_xml_writer, defined in function svt_fsm_state_base :: save_to_xml |