How to download VIP smartsearch?
DESIGNWARE_HOMEto required designware home location where VIP Smartsearch should be downloaded.
vip_smartsearch_<version>.runfile.
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>
How to install VIP Smartsearch?
Please refer to the fileVIP_Smartsearch_installation_and_usage_guide.pdfin
$DESIGNWARE_HOME/vip/svt/vip_smartsearch/<version>for installation steps.
Customer Support
For more details about VIP smartsearch tool, contact support_center@synopsys.com.| b | function arg |
arg type T, defined in function uvm_built_in_comp :: comp |
| b | function arg |
arg type input T, defined in function uvm_class_comp :: comp |
| b | function arg |
arg type input BEFORE, defined in function uvm_algorithmic_comparator :: write |
| b_seq | attribute |
attribute type svt_dti_master_base_sequence, defined in class svt_dti_master_connect_disconnect_req_sequence |
| b_seq | attribute |
attribute type svt_dti_master_base_sequence, defined in class svt_dti_master_page_n_trans_req_sequence |
| b_seq | attribute |
attribute type svt_dti_master_base_sequence, defined in class svt_dti_master_page_req_sequence |
| b_seq | attribute |
attribute type svt_dti_master_base_sequence, defined in class svt_dti_master_dynamic_reset_req_reconnect_sequence |
| b_transport | task |
defined in class uvm_tlm_if |
| b_transport | task |
defined in class uvm_tlm_b_transport_imp |
| b_transport | task |
defined in class uvm_tlm_b_transport_port |
| b_transport | task |
defined in class uvm_tlm_b_transport_export |
| b_transport | task |
defined in class uvm_tlm_b_initiator_socket_base |
| b_transport | task |
defined in class uvm_tlm_b_passthrough_initiator_socket_base |
| b_transport | task |
defined in class uvm_tlm_b_passthrough_target_socket_base |
| b_transport | task |
defined in class uvm_tlm_b_target_socket |
| BACK_ADD | enum value |
member of svt_sequence_item_base_queue_iter :: change_type_enum |
| BACK_DELETE | enum value |
member of svt_sequence_item_base_queue_iter :: change_type_enum |
| backdoor | function |
function, defined in class uvm_reg_map, returns type uvm_reg_map |
| backdoor | attribute |
attribute type svt_mem_backdoor, defined in class svt_mem_sequencer |
| backdoor_read | task |
defined in class uvm_reg |
| backdoor_read | task |
defined in class uvm_mem |
| backdoor_read_func | function |
function, defined in class uvm_reg, returns type uvm_status_e |
| backdoor_read_func | function |
function, defined in class uvm_mem, returns type uvm_status_e |
| backdoor_watch | task |
defined in class uvm_reg |
| backdoor_write | task |
defined in class uvm_reg |
| backdoor_write | task |
defined in class uvm_mem |
| bank_addr_width | attribute |
attribute type rand int unsigned, defined in class svt_mem_suite_configuration |
| base_addr | function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_block :: create_map |
| base_addr | function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: configure |
| base_addr | function arg |
arg type uvm_reg_addr_t, defined in function uvm_reg_map :: get_physical_addresses |
| base_addr | attribute |
attribute type rand bit [63:0] , defined in class svt_traffic_profile_transaction |
| base_cfg | attribute |
attribute type svt_configuration, defined in class svt_dti_system_base_sequence |
| base_data | function arg |
arg type input logic [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_sa_core_2state :: create_pattern |
| base_data | function arg |
arg type input logic [SVT_MEM_MAX_DATA_WIDTH-1:0], defined in function svt_mem_sa_core_4state :: create_pattern |
| base_data | function arg |
arg type svt_mem_data_t, defined in function svt_mem_backdoor :: initialize_base |
| base_data | function arg |
arg type svt_mem_data_t, defined in function svt_mem_core :: initialize |
| base_name | function arg |
arg type output string, defined in function svt_sequence_item_base :: strip_array_element_suffix |
| bash_kth_bit | task |
defined in class uvm_reg_single_bit_bash_seq |
| bd_kind | attribute |
attribute type string, defined in class uvm_reg_item |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: predict |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_field :: do_predict |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: predict |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg :: do_predict |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_indirect_data :: do_predict |
| be | function arg |
arg type uvm_reg_byte_en_t, defined in function uvm_reg_fifo :: do_predict |
| before_export | attribute |
attribute type uvm_analysis_export, defined in class uvm_in_order_comparator |
| before_export | attribute |
attribute type uvm_analysis_imp, defined in class uvm_algorithmic_comparator |
| before_phase | function arg |
arg type uvm_phase, defined in function uvm_phase :: add |
| begin_child_tr | function |
function, defined in class uvm_component, returns type integer |
| begin_child_tr | function |
function, defined in class uvm_transaction, returns type integer |
| begin_elements | attribute |
attribute type int, defined in class uvm_printer_knobs |
| begin_event | attribute |
attribute type uvm_event, defined in class uvm_transaction |
| begin_is_off | function |
function, defined in class svt_sequence_item, returns type bit |
| begin_is_on | function |
function, defined in class svt_sequence_item, returns type bit |
| BEGIN_REQ | enum value |
member of global items uvm_tlm_phase_e |
| BEGIN_RESP | enum value |
member of global items uvm_tlm_phase_e |
| begin_time | function arg |
arg type time, defined in function uvm_recorder :: begin_tr |
| begin_time | function arg |
arg type time, defined in function uvm_component :: begin_tr |
| begin_time | function arg |
arg type time, defined in function uvm_component :: begin_child_tr |
| begin_time | function arg |
arg type time, defined in function uvm_component :: m_begin_tr |
| begin_time | function arg |
arg type time, defined in function uvm_transaction :: begin_tr |
| begin_time | function arg |
arg type time, defined in function uvm_transaction :: begin_child_tr |
| begin_time | function arg |
arg type time, defined in function uvm_transaction :: m_begin_tr |
| begin_time | function arg |
arg type realtime, defined in function svt_vip_writer :: object_create |
| begin_tr | function |
function, defined in class uvm_recorder, returns type integer |
| begin_tr | function |
function, defined in class uvm_component, returns type integer |
| begin_tr | function |
function, defined in class uvm_transaction, returns type integer |
| big_endian | attribute |
attribute type bit, defined in class uvm_packer |
| bin_radix | attribute |
attribute type string, defined in class uvm_printer_knobs |
| bits | function arg |
arg type ref bit unsigned, defined in function uvm_packer :: get_bits |
| bitstream | attribute |
attribute type static bit, defined in class uvm_packer |
| bitstream | function arg |
arg type ref bit unsigned, defined in function uvm_packer :: put_bits |
| bitstream | function arg |
arg type ref bit, defined in function uvm_object :: pack |
| bitstream | function arg |
arg type ref bit, defined in function uvm_object :: unpack |
| bitstream | attribute |
attribute type uvm_bitstream_t, defined in class uvm_status_container |
| bkdr | function arg |
arg type uvm_reg_backdoor, defined in function uvm_reg :: set_backdoor |
| bkdr | function arg |
arg type uvm_reg_backdoor, defined in function uvm_reg_block :: set_backdoor |
| bkdr | function arg |
arg type uvm_reg_backdoor, defined in function uvm_mem :: set_backdoor |
| blk | function arg |
arg type uvm_reg_block, defined in function uvm_reg_block :: add_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_hw_reset_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_bit_bash_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_mem_walk_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_mem_access_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_access_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_access_seq :: reset_blk |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_shared_access_seq :: reset_blk |
| blk | function arg |
arg type uvm_reg_block, defined in function uvm_reg_mem_hdl_paths_seq :: do_block |
| blk | task arg |
arg type uvm_reg_block, defined in task uvm_reg_mem_hdl_paths_seq :: reset_blk |
| blk_parent | function arg |
arg type uvm_reg_block, defined in function uvm_reg :: configure |
| blk_parent | function arg |
arg type uvm_reg_block, defined in function uvm_reg :: set_parent |
| blk_parent | function arg |
arg type uvm_reg_block, defined in function uvm_reg_file :: configure |
| blk_parent | function arg |
arg type uvm_reg_block, defined in function uvm_reg_indirect_data :: configure |
| blks | function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_root_blocks |
| blks | function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: find_blocks |
| blks | function arg |
arg type ref uvm_reg_block, defined in function uvm_reg_block :: get_blocks |
| blocking_get_export | attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
| blocking_get_peek_export | attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
| blocking_get_peek_request_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_get_peek_response_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_get_request_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_get_response_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_master_export | attribute |
attribute type uvm_master_imp, defined in class uvm_tlm_req_rsp_channel |
| blocking_peek_export | attribute |
attribute type uvm_get_peek_imp, defined in class uvm_tlm_fifo_base |
| blocking_peek_request_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_peek_response_export | attribute |
attribute type uvm_get_peek_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_put_export | attribute |
attribute type uvm_put_imp, defined in class uvm_tlm_fifo_base |
| blocking_put_port | attribute |
attribute type uvm_blocking_put_port, defined in class uvm_random_stimulus |
| blocking_put_request_export | attribute |
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_put_response_export | attribute |
attribute type uvm_put_export, defined in class uvm_tlm_req_rsp_channel |
| blocking_slave_export | attribute |
attribute type uvm_slave_imp, defined in class uvm_tlm_req_rsp_channel |
| body | task |
defined in class uvm_sequence_base |
| body | task |
defined in class uvm_sequence_library |
| body | task |
defined in class uvm_random_sequence |
| body | task |
defined in class uvm_exhaustive_sequence |
| body | task |
defined in class uvm_simple_sequence |
| body | task |
defined in class uvm_reg_sequence |
| body | task |
defined in class uvm_reg_indirect_ftdr_seq |
| body | task |
defined in class uvm_reg_hw_reset_seq |
| body | task |
defined in class uvm_reg_single_bit_bash_seq |
| body | task |
defined in class uvm_reg_bit_bash_seq |
| body | task |
defined in class uvm_mem_single_walk_seq |
| body | task |
defined in class uvm_mem_walk_seq |
| body | task |
defined in class uvm_mem_single_access_seq |
| body | task |
defined in class uvm_mem_access_seq |
| body | task |
defined in class uvm_reg_single_access_seq |
| body | task |
defined in class uvm_reg_access_seq |
| body | task |
defined in class uvm_reg_mem_access_seq |
| body | task |
defined in class uvm_reg_shared_access_seq |
| body | task |
defined in class uvm_mem_shared_access_seq |
| body | task |
defined in class uvm_reg_mem_shared_access_seq |
| body | task |
defined in class uvm_reg_mem_built_in_seq |
| body | task |
defined in class uvm_reg_mem_hdl_paths_seq |
| body | task |
defined in class svt_dispatch_sequence |
| body | task |
defined in class svt_fsm_state_base |
| body | task |
defined in class svt_mem_ram_sequence |
| body | task |
defined in class svt_dti_master_base_sequence |
| body | task |
defined in class svt_dti_master_connect_disconnect_req_sequence |
| body | task |
defined in class svt_dti_master_trans_req_sequence |
| body | task |
defined in class svt_dti_master_reg_resp_sequence |
| body | task |
defined in class svt_dti_master_page_n_trans_req_sequence |
| body | task |
defined in class svt_dti_master_page_req_sequence |
| body | task |
defined in class svt_dti_master_dynamic_reset_req_sequence |
| body | task |
defined in class svt_dti_master_dynamic_reset_req_reconnect_sequence |
| body | task |
defined in class svt_dti_system_base_sequence |
| body | task |
defined in class svt_dti_system_tbu_sequence |
| body | task |
defined in class svt_dti_slave_response_api_sequence |
| body | task |
defined in class svt_dti_slave_page_response_sequence |
| body | task |
defined in class svt_dti_slave_response_sequence |
| body | task |
defined in class svt_dti_slave_dynamic_reset_response_sequence |
| body | task |
defined in class svt_dti_slave_response_translation_table_sequence |
| body | task |
defined in class svt_dti_slave_tbu_trans_response_using_translation_table_n_ste_cd_sequence |
| BODY | enum value |
member of global items uvm_sequence_state |
| bp_type | attribute |
attribute type rand bit [SVT_DTI_BP_TYPE_WIDTH-1:0], defined in class svt_dti_transaction |
| break_id | function arg |
arg type output int, defined in function svt_mem_sa_core_2state :: create_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: remove_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: enable_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: disable_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_2state :: is_breakpoint_enabled |
| break_id | function arg |
arg type output int, defined in function svt_mem_sa_core_4state :: create_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: remove_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: enable_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: disable_breakpoint |
| break_id | function arg |
arg type input int, defined in function svt_mem_sa_core_4state :: is_breakpoint_enabled |
| BROAD | enum value |
member of uvm_mem_mam :: locality_e |
| buff | function arg |
arg type output int, defined in function glboal :: stat |
| buffer_in_change | function |
function, defined in class svt_logger, returns type void |
| buffer_out_change | function |
function, defined in class svt_logger, returns type void |
| build | function |
function, defined in class uvm_component, returns type void |
| build | function |
function, defined in class uvm_sequencer_base, returns type void |
| build | function |
function, defined in class uvm_reg_indirect_data, returns type void |
| build | function |
function, defined in class uvm_reg_fifo, returns type void |
| build | function |
function, defined in class svt_fsm, returns type void |
| build_coverage | function |
function, defined in class uvm_reg, returns type uvm_reg_cvr_t |
| build_coverage | function |
function, defined in class uvm_reg_block, returns type uvm_reg_cvr_t |
| build_coverage | function |
function, defined in class uvm_mem, returns type uvm_reg_cvr_t |
| build_ph | attribute |
attribute type uvm_phase, defined in global |
| build_phase | function |
function, defined in class uvm_component, returns type void |
| build_phase | function |
function, defined in class uvm_root, returns type void |
| build_phase | function |
function, defined in class uvm_sequencer_base, returns type void |
| build_phase | function |
function, defined in class uvm_port_component_base, returns type void |
| build_phase | function |
function, defined in class uvm_tlm_fifo_base, returns type void |
| build_phase | function |
function, defined in class uvm_agent, returns type void |
| build_phase | function |
function, defined in class uvm_sequencer_param_base, returns type void |
| build_phase | function |
function, defined in class svt_component, returns type void |
| build_phase | function |
function, defined in class svt_driver, returns type void |
| build_phase | function |
function, defined in class svt_monitor, returns type void |
| build_phase | function |
function, defined in class svt_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_agent, returns type void |
| build_phase | function |
function, defined in class svt_env, returns type void |
| build_phase | function |
function, defined in class svt_traffic_arbiter, returns type void |
| build_phase | function |
function, defined in class svt_mem_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_port_monitor, returns type void |
| build_phase | function |
function, defined in class svt_dti_master_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_slave_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_master_env_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_slave_env_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_system_sequencer, returns type void |
| build_phase | function |
function, defined in class svt_dti_master_agent, returns type void |
| build_phase | function |
function, defined in class svt_dti_system_env, returns type void |
| build_phase | function |
function, defined in class svt_dti_master_env, returns type void |
| build_phase | function |
function, defined in class svt_dti_master, returns type void |
| build_phase | function |
function, defined in class svt_dti_slave, returns type void |
| build_phase | function |
function, defined in class svt_dti_slave_agent, returns type void |
| build_phase | function |
function, defined in class svt_dti_slave_env, returns type void |
| burst_enum | enum typedef |
defined in global |
| burst_ix | function arg |
arg type int, defined in function svt_mem_transaction :: get_phys_addr |
| burst_read | task |
defined in class uvm_mem |
| burst_read | task |
defined in class uvm_mem_region |
| burst_size | function arg |
arg type svt_mem_addr_t, defined in function svt_mem_core :: start_access |
| burst_size | function arg |
arg type svt_mem_addr_t, defined in function svt_mem_core :: end_access |
| burst_write | task |
defined in class uvm_mem |
| burst_write | task |
defined in class uvm_mem_region |
| bus2reg | function |
function, defined in class uvm_reg_adapter, returns type void |
| bus2reg | function |
function, defined in class uvm_reg_tlm_adapter, returns type void |
| bus_activity_type_name | attribute |
attribute type string, defined in class svt_dti_transaction |
| bus_in | attribute |
attribute type uvm_analysis_imp, defined in class uvm_reg_predictor |
| bus_inactivity_timeout | attribute |
attribute type int, defined in class svt_dti_system_configuration |
| bus_item | function arg |
arg type uvm_sequence_item, defined in function uvm_reg_adapter :: bus2reg |
| bus_item | function arg |
arg type uvm_sequence_item, defined in function uvm_reg_tlm_adapter :: bus2reg |
| bus_parent_uid | attribute |
attribute type string, defined in class svt_dti_transaction |
| busy | function arg |
arg type bit, defined in function uvm_reg :: Xset_busyX |
| bw_export | attribute |
attribute type uvm_tlm_nb_transport_bw_export, defined in class uvm_tlm_nb_passthrough_initiator_socket_base |
| bw_imp | attribute |
attribute type uvm_tlm_nb_transport_bw_imp, defined in class uvm_tlm_nb_initiator_socket |
| bw_port | attribute |
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_target_socket_base |
| bw_port | attribute |
attribute type uvm_tlm_nb_transport_bw_port, defined in class uvm_tlm_nb_passthrough_target_socket_base |
| bypass | attribute |
attribute type rand bit, defined in class svt_dti_transaction |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_dre |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_dcp |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_privcfg |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_instcfg |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_alloccfg |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_vmid_value |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_asid_value |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_attr_override_value |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_stage1_hw_attribute_value |
| bypass | function arg |
arg type input bit, defined in function svt_dti_slave_agent :: get_stage2_hw_attribute_value |
| bypass_field_check | attribute |
attribute type svt_err_check_stats, defined in class svt_dti_checker |
| byte_addressing | function arg |
arg type bit, defined in function uvm_reg_block :: create_map |
| byte_addressing | function arg |
arg type bit, defined in function uvm_reg_map :: configure |
| byte_en | function arg |
arg type uvm_reg_data_t, defined in function uvm_reg :: sample |
| byte_en | function arg |
arg type uvm_reg_data_t, defined in function uvm_reg :: XsampleX |
| byte_name | function arg |
arg type output string, defined in function svt_data_converter :: get_code_group |
| byte_size | attribute |
attribute type byte, defined in class uvm_packer |
| byteen | function arg |
arg type bit [SVT_MEM_MAX_DATA_WIDTH/8-1:0], defined in function svt_mem_word :: write |
| byteen | function arg |
arg type bit [(SVT_MEM_MAX_DATA_WIDTH/8-1):0], defined in function svt_mem :: write |
| bytes | function arg |
arg type ref byte unsigned, defined in function uvm_packer :: get_bytes |
| bytestream | function arg |
arg type ref byte unsigned, defined in function uvm_packer :: put_bytes |
| bytestream | function arg |
arg type ref byte unsigned, defined in function uvm_object :: pack_bytes |
| bytestream | function arg |
arg type ref byte unsigned, defined in function uvm_object :: unpack_bytes |